National Academies Press: OpenBook

An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003 (2003)

Chapter: Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews

« Previous: 8 Information Technology Laboratory
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 85
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 86
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 87
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 88
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 89
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 90
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 91
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 92
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 93
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 94
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 95
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 96
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 97
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 98
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 99
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 100
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 101
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 102
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 103
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 104
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 105
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 106
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 107
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 108
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 109
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 110
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 111
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 112
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 113
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 114
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 115
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 116
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 117
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 118
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 119
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 120
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 121
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 122
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 123
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 124
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 125
Suggested Citation:"Part III Division-Level Reviews: 9 Electronics and Electrical Engineering Laboratory: Division Reviews." National Research Council. 2003. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003. Washington, DC: The National Academies Press. doi: 10.17226/10820.
×
Page 126

Below is the uncorrected machine-read text of this chapter, intended to provide our own search engines and external engines with highly rich, chapter-representative searchable text of each book. Because it is UNCORRECTED material, please consider the following text as a useful but insufficient proxy for the authoritative book pages.

PART ll} Division-Level Reviews Part I of this report presents the overall assessment of the NIST Measurement and Standards Laboratories. Part II provides a laboratory-level assessment of each individual laboratory. This part presents a technical review at the division level for each laboratory. Chapter 9 Electronics and Electrical Engineering Laboratory: Division Reviews Chapter 10 Manufacturing Engineering Laboratory: Division Reviews Chapter 1 1 Chemical Science and Technology Laboratory: Division Reviews Chapter 12 Physics Laboratory: Division Reviews Chapter 13 Materials Science and Engineering Laboratory: Division Reviews Chapter 14 Building and Fire Research Laboratory: Division Reviews Chapter 15 Information Technology Laboratory: Division Reviews 85

9 Electronics and Electrical Engineering Laboratory Division Reviews ELECTRICITY DIVISION Technical Merit . The Electricity Division's work encompasses the development and maintenance of national electri- cal standards. It aims to identify needs related to electrical standards that have the highest economic impact, require support to industry, and meet the deliverables appropriate to the overall division mis- sion. Six fields of technology are affected by the work of the division: national electrical standards, low- frequency systems, electric power, display metrology, electronic data exchange, and semiconductors. There is some concern within the panel that important elements of the division's efforts, while clearly of value to the broadly stated goals of its mission and supported by its management, are inadequately reflected in the division's mission statement. These elements include standards and metrics for flat panel displays, standardized systems for the digital transfer of electrical and mechanical manu- facturing data, and the maintenance of a sound database of information pertinent to NIST through the organized, electronic capture of data. As in the past, the division conducts its business in a manner that supports its stated mission. In accord with the division' s strategic planning, its three groups were reorganized this past year into four groups: the Electronic Instruments and Metrology, Fundamental Electrical Measurements, Electrical Systems, and Electronic Information Technologies Groups. Eleven major projects (see the subsections below) are supported by the work of these groups. The panel commends the Electricity Division of the Electronics and Electrical Engineering Labora- tory (KEEL) for its management approach. Last year's reorganization of the division's efforts was aimed at better allocation of available resources, which resulted in some redirection. This process was conducted NOTE: Chapter 2, "Electronics and Electrical Engineering Laboratory," which presents the laboratory-level review, includes a chart showing the laboratory's organizational structure (Figure 2.~) and a table indicating its sources of funding (Table 2.~. 87

88 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 in a manner that effectively utilized staff input, and it continues to be perceived by the staff as a positive exercise. Management is currently building on these efforts with review and planning activities that will maximize the adaptability of the division while retaining its ability to meet its traditional and established responsibilities. The balance that has been achieved between these two occasionally conflicting objec- tives appears to be well considered and effective. The status of client services continues to evolve. The panel observed services that were in the process of elimination as well as new services that had been developed in response to clients' requests. In both cases there was clear evidence that clients were being consulted throughout the process. In the opinion of the panel, the strategic decisions of the division's management and staff have considerably improved the division's ability to meet its goals and objectives while maintaining its overall level of technical merit. An example of the division's overall approach can be seen in its yearly pamphlet, which describes each project and enumerates a number of specific short- and long-term objectives. In its consultations with staff, the panel found that these objectives represent realistic goals and plans and are considered seriously. Although there may be occasional questions by staff about the value of the time spent producing these documents, such questions are to be expected from a committed staff determined to maximize the level of its efforts. The effort put into this planning and documentation, however, is of considerable value and should be recognized. In the following subsections, details of major projects in the division are discussed in order to illustrate the high quality and technical merit of its work. Electronic Kilogram The objectives of the Electronic Kilogram project are the realization of the electrical unit of voltage and an investigation of an alternative definition of the unit of mass that is based on measured quantities determined by the fundamental physical constants of nature. The unit of mass is currently based on a physical artifact, whose copies differ by non-negligible amounts. Numerous national bureaus of stan- dards are making efforts to replace these artifacts. The program at NIST is at the forefront of such efforts and retains U.S. leadership in the field of standards. The level of both technical skill and design creativity for this project is exceptionally high. The experimental setup is an exceedingly difficult apparatus to develop and refine. The project combines the use of a number of existing electrical standards (the volt and the ohm) in order to generate a known force through means of a complex, yet fundamentally deterministic, magnetic system. The instrumentation has recently undergone a series of technical improvements, including the redesign of various elements. The benefits of these changes are expected to be established through testing that will occur shortly. The level of technical skill and expertise brought to the project is clearly evident in the identification and subsequent elimination of a number of design elements that contribute to systematic errors in the system. This is the sort of measurement system that NIST can be proud of, for the system is clearly a leader among the various efforts in the world. Voltage Metrology The Voltage Metrology project maintains the U.S. legal volt and disseminates the unit as an interna- tionally consistent, accurate, reproducible, and traceable voltage standard that is readily and continu- ously available for the national scientific and industrial base. NIST has historically been one of the world leaders in the determination of the volt and has led the way in the development of hysteretic Josephson arrays, which are in use in most technologically developed countries around the world.

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 89 Besides simply maintaining and disseminating the volt, this project has continued to refine the Joseph- son array device and to reduce its size and complexity so that it can be used as a portable device. It is now clear that interlaboratory comparisons based on traveling Zeners are limited primarily by the instability and noise of the Zener diode transfer devices. The project has developed and tested a portable Josephson array standard. Recent publication of these results indicates an improvement of about a factor of 10 over conventional traveling Zeners and provides this improvement directly to NIST's most important clients. Interesting studies about the spectral analysis of typical Zener devices are under way. This work promises to help optimize the measurement conditions but also serves as a valuable educa- tional tool for clients of the Voltage Metrology project. The project is utilizing a programmable array for the voltage calibration services provided to its customers. KEEL enjoys a steady demand from its customers for calibration of saturated cell voltage standards. At one time it was hoped that the evolution of the Zener diode reference standards might improve the state of the art and make high-level voltage calibrations much easier. However, over the years the Zener-based devices have shown unpredictable noise characteristics, which diminish their value as a highly stable voltage standard. The development and testing of the programmable Josephson array have uncovered a number of minor technological problems, most of which are now solved, illustrating improved collaborations with the NIST Boulder campus. Single Electron Tunneling The goal of the Single Electron Tunneling project is to develop applications for single-electron tunneling (SET) technologies, which are relevant to high-precision electrical metrology. A recent col- laboration with the capacitive standard effort in Gaithersburg has resulted in advances in the state of the art for determination of frequency dependencies inherent in capacitance measurements; these advances are fundamental to this project. The limited level of staffing available for this work makes it essential to secure and maintain strong networks. Effective collaboration with NIST Boulder as well as work with a group in Japan and with investigators at the University of Maryland have all proven effective. Metrology of the Ohm The Metrology of the Ohm project maintains the U.S. legal ohm through distribution of an interna- tionally consistent, accurate, reproducible, and traceable resistance standard that is readily and continu- ously available for the U.S. scientific and industrial base. NIST continues to be a world leader in the realization of the ohm through state-of-the-art technology such as the quantum Hall (QH) resistance device. The QH devices are manufactured by NIST. However, these current devices degrade over time, so NIST has had to work diligently to ensure that there is an adequate supply of them. The level of signal produced by the QH devices is very small and difficult to use. In addition, the system to realize the ohm through the device is expensive and difficult to use. The Metrology of the Ohm team has taken on the task of trying to develop a QH device that will be less expensive and easier to use. If successful, this project may make it possible to do QH resistance work in the field. Over the whole range of resistance measurements, NIST has always had a world-respected reputa- tion. If NIST could be faulted, it would be related to its slowness to utilize cryogenic current compara- tors and other developments in resistance metrology. It is pleasing to see that this situation is changing. This project's recent focus on high resistance is beginning to reap dividends. Improved scaling to 1 megaohm using a new cryogenic current comparator, stable resistance standards with much-improved temperature coefficients, higher-value Hamon transfer standards, and the active-arm high-resistance

9o AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 bridge are all significant developments. Taken together, they redefine NIST preeminence in high- resistance metrology. AC-DC Difference Standards and Measurement Techniques The AC-DC Difference Standards and Measurement Techniques project exists to provide U.S. industry with a link between direct current (DC) and corresponding alternating current (AC) electrical standards and to maintain and improve national standards for measuring DC and AC differences. The project team has undertaken a number of innovative development projects designed to utilize state-of- the-art thin-film approaches and technologies in order to facilitate the production of standards. This is a very challenging technical task, and there has been significant progress in a number of directions. Based on the achievements of this group, it is clear that the technical skill and commitment of its personnel are of the highest caliber. Impedance and AC Ratio Standards The Impedance and AC Ratio Standards project maintains and disseminates the U.S. legal farad and relates that unit to the International System (SI) of units. It provides the U.S. industrial base with consistent, reproducible, reliable, and traceable electrical calibrations in these areas. Recent work on improving the accuracy of frequency dependence measurements of capacitors is a significant advance not only for U.S. manufacturers but also for the metrology community as a whole. Many other countries trying to solve similar problems will welcome these results. The calculable capacitor continues to be the focus and foundation of this project. It is important not just as the fundamental capacitance standard but also in its role in the "quantum triangle," linking the single-electron tunneling, watt-balance, Josephson, and quantum Hall experiments. Other advances, such as the new straddle bridge for measuring voltage ratio, augment and improve the measurement and scaling of the AC impedance units and emphasize the high motivation, originality, and competence of the staff. The initiation of an AC quantum Hall resistance experiment places NIST in the company of only four other laboratories in the world, demonstrating that NIST is seriously addressing these measurement challenges and is committed to moving beyond its past successes. Electric Power Metrology The Electric Power Metrology project exists to maintain and disseminate precise electrical measure- ments for the nation's electric power transmission and distribution systems and various industrial high- energy power applications such as welding. It maintains standards for power and energy and provides calibration services for AC and DC, electric power and energy, and other electrical purposes. The panel commends the project team for maintaining close ties with industry and other standards organizations and for its leadership in these relationships. The project shows a management commit- ment to satisfying both its major clients and overall NIST objectives. Its technical achievements are significant and are respected nationally and internationally. Developments such as the distorted power test are not just technically innovative but also tailored to be of immediate service to NIST's clientele. The project's calibration services continue to be in demand and without any significant complaints. In general, this project seems to have struck a good balance between ever better identification of uncertain- ties and satisfaction of clients' needs.

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS Time Domain Measurements 91 The Time Domain Measurements project exists to expand and improve present NIST time domain waveform measurement services to support high-performance samplers and digitizers, as well as fast pulse and impulse sources, operating at frequencies from DC to 50 GHz. The work of this project team clearly lies at the limit of what is achievable with current instrumentation. The personnel in the project team have been able to develop methods permitting the calibration of a commercially available instru- ment to such a degree that the instrument can then be used as a tool for the calibration of other instruments. The expertise and technical capability demonstrated in this work are exemplary. This project' s efforts in support of NIST' s homeland security work have been extremely valuable, leading to the development of guides and published standards for metal detectors. Flat Panel Display Metrology The objective of the Flat Panel Display Metrology project is to develop robust, reproducible, and unambiguous metrology methods to characterize electronic displays, particularly flat panel displays (FPDs) to support the domestic industry of display users. The project involves the development of patterns for display measurement and the revision of International Organization for Standardization (ISO) visual-display ergonomic standards. Other current work has a focus on homeland security. These efforts involve the development of measurement techniques dealing with reflectivity and with a liquid lens that can distinguish features in shadow in a high-contrast field of light and dark. The Flat Panel Display Metrology project is creating standard approaches to measuring display characteristics. Despite the long time that displays have been in existence, the technology is in its infancy. Expensive techniques are used in industry to measure display characteristics; the results from manufacturer to manufacturer are ambiguous in many cases. The NIST team has found inexpensive ways to make the same measurements and has developed standards for metric measurement methods (as opposed to metric standards). The expectation is that through standardization of measurement methods that produce unambiguous results, standard sets of metrics will evolve. It is noteworthy that this project team is applying creative techniques to the needed development of low-cost and effective metrics. Industry is responding positively to this work. NIST should continue this project, which has such high leverage in terms of output versus manpower. The aims of the project should be embodied in the division mission statement. Infrastructure for Integrated Electronic Design and Manufacturing The objective of the Infrastructure for Integrated Electronic Design and Manufacturing project is to actively contribute to the technical development of neutral product data exchange specifications, manu- facturing specifications, and component information infrastructure for the electronics industry. The project focuses its efforts on two areas: Electronic Commerce of Component Information (ECCI) and Internet Commerce for Manufacturing (ICM). The skill base for this project requires knowledge of digital systems and software development. As technology advances in ways that permit the digital transfer of electrical and mechanical manu- facturing data, the need for standardized ways to represent the data becomes an imperative. To let these techniques develop in a random manner puts the United States at a competitive disadvantage. The panel commends NIST for recognizing the need for this project.

92 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 The project is at a critical stage of development requiring high skill levels of professionals. The state of the art for electronic design and manufacturing does not have standards for representing electrical and mechanical manufacturing data to create the much-needed infrastructure. The infrastructure depends on such standard representations and libraries of component parts, which contain the detailed data. There are at present many such libraries and data representations, with no single standard. The industry may never be able to reach agreement on such standards, but NIST as a neutral body with the integrity and knowledge to minimize the disarray can be a positive, industry-wide force. An alternative approach to reaching industry-wide agreement would be to make known the various infrastructures and data repre- sentations and then to create a basis for transformations among the data representations. The NIST project is attempting to address many aspects of this problem area. The NIST participants have the knowledge base to contribute solutions. The NIST ECCI and ICM focus areas within this project are recognized as extremely important by the electronics industry. The fact that numerous standards groups meet frequently to reach agreement in these areas exemplifies the importance of the work. Standards group meetings sponsored by the Elec- tronics Industry Association (EIA) and the Institute of Electrical and Electronics Engineers (IEEE) as well as many industry ad hoc standards groups are well attended by industry representatives. Because of the technical skills and neutrality brought to standardization efforts by NIST scientists in this area, they are welcomed and encouraged by industry. Within some standards organizations, the NIST personnel assume a leadership role. Knowledge Facilitation The Knowledge Facilitation project provides a formalization to achieve secure and cost-effective means of data collection and dissemination. The three stated objectives of this project are as follows: · To eliminate paper-intensive and manual operations by automating tasks, decreasing the admin- istrative requirements of the technical and support staff, increasing responsiveness to customers, and implementing a secure NIST paperless environment. · To provide information technology security policies, procedures, guidelines, and baselines and ensure compliance with Government Information Security Reform Act (GISRA) requirements. · To develop and refine a workflow application to enable the automatic tracking of technical and administrative calibration information. The first objective is actually a mission statement encompassing the other two objectives; the second objective relates to homeland security; the Information System to Support Calibrations (ISSC) satisfies the third objective, by reducing the percentage of time that NIST scientists and support staff spend producing the necessary calibration forms and associated reports. The Knowledge Facilitation project addresses a key need in the complex world of NIST and has to be shown explicitly as part of the NIST mission. The skill base for this project requires knowledge of digital systems and software development. Progress in this project significantly improves the ability to manage calibration data, to report calibration work, and to interact with NIST customers with respect to calibration data. An outgrowth of this work is its logical extension to capturing technical report references in a consistent database to greatly simplify browsing and access. The concepts embodied by this project should be captured in the division mission statement. NIST is to be commended for formalizing this critical project. The Knowledge Facilitation project has been developed nearly to the point that one of its deliverables, the ISSC, will be moved to another NIST area, Technology Services, which has the ability to call on experts should problems arise. ISSC capabilities are now accessible throughout the division and KEEL.

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS Program Relevance and Effectiveness 93 A major activity of the Electricity Division is the maintenance of highly accurate and reliable electricity standards. These standards enable robust measurements of the entire range of electrical quantities that are needed to support commercial infrastructures and technology development as well as advanced investigations at academic institutions. Representations of the volt and ohm are the practical means through which NIST's electrical units are maintained. Participation in international comparisons and linkage to national traceability systems are also key to NIST' s fulfillment of metrology obligations and to the preservation of the prestige and respect it receives from other countries and metrology organizations. The maintenance of the legal unit of the volt and its dissemination are at the heart of the charter of NIST. The development and implementation of a reliable current standard are also clearly consistent with the division's central mission. This effort combines project work with efforts necessary to implement the use of the current standard: the development of a stable capacitive standard. While this project involves significant technical risk, success would essentially be revolutionary in the field of electrical standards. Other important projects within NIST are also dependent upon the Josephson junction array voltage standard work carried on by the Voltage Metrology project. For example, the watt-kilogram experiments in both the United States and France are using Josephson array devices built by the NIST Voltage Metrology team. Metrology of the ohm and resistance calibration services likewise are part of NIST' s core business and are key to U.S. industry. Realizing the value of the ohm is an essential component in the foundation of international trade. While stark numbers may often misinterpret relevance, the large number of resistance calibrations is surely a positive indicator that NIST's Metrology of the Ohm project is extremely relevant to U.S. industry. The work of the AC-DC Difference Standards and Measurement Techniques project is fundamental to the use of electric power. Nearly every type of industry using electric power or electronics in any form has some need for accurate measurement based on thermal converters and AC current shunts. These needs vary widely in terms of both the frequencies and the amounts of current involved and create a critical need for standards and calibration services covering a wide range of both frequency and current domains. The perpetuation of a dependable, consistent, and traceable standard for the farad will continue to be a core priority for the Electricity Division. There are only about five calculable capacitors in routine operation in the entire world; the NIST system claims to be the best and so far has been able to substantiate those claims. This unique situation enhances NIST's international reputation but also im- plies additional responsibility for maintaining the current level of accuracy. The division is currently engaged in extending the range and accuracy of capacitance measurements. Generally, NIST's capabili- ties meet industry needs but do not exceed them by a large margin. The Impedance and AC Ratio Standards project's recent studies into the frequency dependence of standard capacitors illustrate that the project is responsive to U.S. clients' needs and demands. Conventional power measurements and their dissemination are handled competently and profes- sionally by the division. Power and energy metering has undergone several improvements. The power calibration facilities have been upgraded with more modern equipment. Tests of distorted power to the 50th harmonic have been developed to answer demands for traceability and calibration. Two projects, those on power quality and high voltage, are under consideration for discontinuation because they no longer appear to be of interest to the division's clients. Of particular note in the area of conventional power measurements is a small project focused on communication security within the power distribution grid. The approach undertaken was to develop a tool characterizing the varying real-time latency timings of control communications primarily of remote

94 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 power-producing facilities. This tool should help the industry assess the impact of such things as denial of service within control loops that stabilize and equilibrate the national grid of electrical power. Recently increased attention to counterterrorism has focused on this vulnerability to our electrical energy distribution system, and its impacts on homeland security are direct and potentially enormous. The Time Domain Measurements project focuses on electrical measurements at timescales at the limit of present instrumentation. This work currently supports a very large industry dependent on the special need to interface electrical components and instruments with high-bandwidth optical communi- cations. The metrology for flat panel displays is still in the infant stage. NIST is providing a much needed function by bringing industry and consumers together to work on the problem while contributing positive steps in the evolution of that metrology. NIST experts have developed a set of measurement techniques that will ultimately lead to metrology standards for flat panel display. While industry is willing to accept the techniques, it is not yet ready to accept certain standards. Nonetheless, the value of this work is recognized, given that a NIST-supplied, complete set of patterns for display measurements and the setup for all pixel-array formats currently in use (approximately 40,000 patterns) have been accepted by the Video Electronics Standards Association. The Flat Panel Display Metrology team has 1 ~ 1 ~ Of . . . . . . . . . . . . . .. . . a... . . also developed a unique approach to photographing high-contrast scenes, using a llquld-lllled lens, so that the features of a face in shadow can be seen even when the background is very bright (a normal camera does not "see" the face in shadow, showing just a silhouette). This approach is being applied to homeland security measures. The work being done by the Infrastructure for Integrated Electronic Design and Manufacturing team is very significant and of great importance to the electronics industry. Standards for the description of electronics data are imperative in order for the United States to maintain and enhance its position worldwide in the electronics industry. The team consists of both experienced and relatively young researchers. As they develop the infrastructure, their enthusiasm for the task leads to rapid learning and understanding of the issues involved and the types of solutions needed. As the electronics industry is evolving rapidly, the problems are not "solved" instead, researchers are hard-pressed to keep up with solutions for the evolving problem set. As the team's understanding of a problem grows, the recognition of solutions that need work in order to be implemented also grows. Thus, an adequate staff of research- ers is essential. In the present NIST climate, maintaining an adequate research staff for this project is difficult. The Knowledge Facilitation project members continue to remain involved with industry standards organizations. Their industry colleagues recognize the work of these individuals at NIST. The team has developed software tools to manipulate the data contained in an IPC (an industry standards organiza- tion) standard format. The project members interact with such industry standards groups as the Interna- tional Electrotechnical Commission TC93, the Electronic Design Automation Standards Technical Commission, IPC, and the IEEE Computer Society Design Automation Standards Committee. The work performed by NIST covers an important, yet small, part of the total problem space. One of the key efforts under way concerns an experiment: international interoperability testing of electronic compo- nent information and dictionary harmonization. An electronic component information dictionary is key to the ability of semiconductor and electronic parts producers and users to communicate what is available and what is needed in future products. At present, there are many such dictionaries, and harmonization is crucial. Other tasks concern manufacturing information chain management and Web infrastructures to enhance B2B and e-manufacturing processes. The ISSC has already demonstrated its significance and cost-effectiveness, as exemplified by its widespread acceptance and use throughout NIST. A Web-based bibliographic database, which is a

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 95 natural follow-on to the ISSC, is now being developed. This database will provide a consistent and efficient method for searches of papers published within KEEL. The security of data and access to data also constitute a major focus of the project. This focus relates strongly to the topic of homeland security. Division Resources The funding level of the division has been relatively constant over the past 7 years, but since the cost per employee has risen, it is difficult to keep the same number of technical staff. The overhead has also increased. This situation has led to manpower shortages in several important areas. Funding and the loss of two key professionals are having a negative impact on the ability of the sparse crew in the Infrastructure for Integrated Electronic Design and Manufacturing project to cover the territory. Morale is also being affected. Though the members of the project team are dedicated and highly enthusiastic, they feel that they are lacking the critical mass to do their job well. The Voltage Metrology project has had a steady workload fully occupying the small staff of two people. The panel continues to have some concern that there is insufficient other staff having the required capabilities to act as backup for the existing staff. Cross-training is still being considered, but an additional half-person in technical support is sought. There is no extra capacity on this team should any new projects need to be addressed. The less-than-optimal progress in the Single Electron Tunneling project can be linked to staffing shortages. A significant impediment to the project resulted when leaks in an essential piece of equip- ment occurred and it was necessary to divert the research of a central investigator to do repairs. Efforts have been made to add staff; however, staffing levels throughout the division are stretched dangerously thin, making it difficult to provide additional staffing for any project. It is expected that more staff would help to alleviate some of the difficulties facing this project. Both the Metrology of the Ohm and the Voltage Metrology projects are funded and staffed at minimal levels, but the addition of new technical support has brought renewed optimism and direction into this work. The Voltage Metrology project continues to have inadequate clean electric power, which is essential for the services it provides. Although a reliable backup power generator is now available, the harmonic distortion of the regular power still needs to be addressed. While the present resources seem just adequate to maintain the calculable capacitor for the Imped- ance and AC Ratio Standards project's work, further advances of this instrument, AC quantum Hall resistance measurements, and automated systems, as well as the upcoming move to the Advanced Measurement Laboratory, will severely tax the project's manpower and financial resources for a couple of years. This project has generally sufficient resources, both in equipment and personnel, to perform its routine maintenance and requested calibration services. The adaptation to the AML and to NIST's internal quality system will be a heavy, but temporary, strain for perhaps the next 24 months. The panel is concerned about the small number of permanent staff within the project and about the ability of the project to maintain a knowledge base sufficient for the smooth continuation of project objectives. Some additional technical assistance was requested for one subproject. Perhaps the biggest concern with respect to the electric power work was the inability to be respon- sive to the rapidly changing electric power industry an industry that is drawing considerable public and government attention. The challenges for a deregulated electric power industry, and in turn for NIST, are not yet fully understood, but NIST's ability to meet these needs as they arise, let alone to provide leadership within the industry. is very limited. ~ ,, , Although current levels of staffing and equipment in the Time Domain Measurements project are

96 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 sufficient for present industry needs, the team must struggle to maintain its current level of calibration services while doing the development work necessary for anticipated future needs. While the sort of equipment needed for this work can be rather expensive, this project team has proven extremely effec- tive at finding outside resources for equipment costs. The Infrastructure for Integrated Electronic Design and Manufacturing project requires more people and resources to be as effective as it could be. The project is recognized as important to the work within industry, but staff is spread too thin. The value of the project to U.S. industry is well worth an additional two staff members. At present, the project is working to fill openings in two authorized positions. To limit the workload, opportunities were seized to delegate work to other organizations where possible. For example, for the voltage interlaboratory comparisons, the role of pivot laboratory was given to the Sandia National Laboratories in the Department of Energy. The division should be com- mended for this innovation, but it remains to be seen what more, if anything, can be delegated. The Electricity Division is in the awkward phase of having many experienced staff members retiring. This places an additional training burden on a division that is already aggravated by the gradual decline in its total number of staff. Although the staff faces substantial challenges with respect to equipment and staffing needs, morale is good and priority work is accomplished. Evaluations in prior years have repeatedly emphasized the dangers inherent in operating with exceedingly lean budgets. These dangers have not, in the panel's opinion, resulted from funding decisions made within KEEL, where a combination of good management and remarkably committed staff have maintained a very high level of achievement. They are instead the cumulative consequence of years of what has effectively been a reduction in the overall budget and thus a reduction in the staff. The lean budgets should no longer be considered a danger merely to KEEL's current effectiveness; they now also clearly impact both its current and its future effectiveness. Examples of the impact of sparse operating funds on the division' s effectiveness are available. The Time Domain Measurements project, for one, has turned down homeland security projects owing to the lack of available human resources. The primary researcher on the Gaithersburg Single Electron Tunnel- ing project is forced to spend months of his time dealing with commercial equipment failures. Unavoid- able demands for calibration services hamper the essential development of modern AC-DC transfer devices. Each of these examples involves intensely committed staff members whose value is clearly recognized by division and laboratory management and who are actively supported, based on sound judgment, to the degree of current funding capabilities. But limitations on those funding capabilities are now having a direct and negative impact. It must be made clear that this situation will likely not, in the panel's opinion, be addressed by additional reallocation of resources, as has already happened for some projects; because overall resource allocation is so thinly stretched, equally troublesome examples would probably turn up quickly in other programs. The problem is instead related to the overall level of support. SEMICONDUCTOR ELECTRONICS DIVISION Summary Overall the panel believes that the Semiconductor Electronics Division (SED) is doing the best it can to support a broad array of projects relevant to industrial needs, given its personnel and funding limitations and its aging equipment and facilities. The panel emphasizes, as it has for several years, that for NIST to maintain its leadership role in semiconductor metrology, to continue providing key results

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 97 to industry, to develop important new measurement technologies and standards in advance of industrial needs, and to keep pace with emerging developments in industry and with scientific progress, the necessary infrastructure must be kept in place and continually improved. These efforts are all the more important because NIST may be the only organization that is able to provide meaningful and timely solutions to important requirements for technology development. In short, SED must have continuous access to stable core competencies and infrastructure for state-of-the-art measurements. Access to a properly funded and staffed Advanced Measurement Laboratory facility constitutes a major opportunity for the division to maintain these competencies. Technical Merit The mission of the Semiconductor Electronics Division is to provide technical leadership in the research and development of the semiconductor measurement infrastructure essential to silicon and other advanced semiconductor technology needs. The division's programs also respond to industrial measurement needs related to compound semiconductors, microelectromechanical systems (MEMS), power devices, and silicon-on-insulator devices. Several projects are focused on life science applica- tions. A brief overview of the activities of the Office of Microelectronics Programs (OMP) activities is included as part of the SED review, and appropriate comments are included as part of this division report. The SED objectives are appropriate to its mission and accurately describe the programs currently under way in support of NIST, NIST themes, and the KEEL's missions. A thorough annual strategic planning process aligns SED programs to overall NIST themes, KEEL's strategic plan, and customer needs. Division staff members have an excellent understanding of the problems and needs facing the semiconductor industry and the areas in which NIST's unique skills are most effectively applied. Industry views SED' s contributions as unique and essential to efficiently providing measurement tech- niques and standards. The fact that NIST is in a position to provide methods and standards without commercial bias is seen as extremely beneficial to the industry overall, and that ability is unequaled by any other organization no other body can provide this unique combination of skills and capabilities. The International Metrology Working Group sets the metrology requirements in the International Technology Roadmap for Semiconductors (ITRS). SED staff assume leading roles on U.S. standards committees and in the International Metrology Working Group in order to support and encourage new and better methods for meeting critical, industry-wide measurement needs. These methods are being adopted worldwide to support the semiconductor industry's business needs. Examples include the use of NIST Joint Electron Device Engineering Council (JEDEC)-approved oxide-quality measurement meth- ods to verify the uniformity of offshore integrated circuit foundry products. The panel reinforces the statement above that the overall NIST and SED leadership role requires that core competencies and infrastructure necessary to implement and support state-of-the-art measure- ment capabilities must continue to be developed in advance of industry needs. SED's role in the organization of the ongoing International Conferences on Characterization and Metrology for ULSI [ultralarge-scale integration] Technology is seen as a particularly valuable leader- ship activity. The next of these conferences is scheduled to occur in 2003 and is expected to result in another 700-plus-page, hardbound proceedings volume published by the American Institute of Physics. The volume will be a practical, up-to-date summary of the state of the art in semiconductor measure- ment science and metrology for use by researchers and in industrial applications. Research efforts in SED appear to be in balance with its service activity. Since basic research is necessary to successfully complete most SED programs designed to provide service results, by defini-

98 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 tion a certain level of research is built into most SED programs for example, in the physical modeling of oxide breakdown characteristics. Fundamental research activity is exemplified by the Nanoelectronics and the Single Molecule Manipulation and Measurement (SM3) initiatives that are currently under way. Key SED program activity was presented to the panel in formal reviews and through more informal, interactive poster presentations in the laboratories. Project goals, relevance, and results were clearly stated in the majority of these presentations. Benchmarks (performance indicators) were used to clearly communicate goals and progress relative to customer needs. The following subsections discuss specific project efforts. Advanced Metal-Oxide Semiconductor Device Reliability and Characterization A major problem challenging the continued advance of complementary metal-oxide semiconductor (CMOS) devices is the exponentially increasing gate tunneling currents through ever-thinner gate di- electrics in successive generations of new technology. Therefore, the semiconductor industry worldwide is anxiously awaiting the development of viable, alternative gate dielectrics to replace SiO2 in future generations of CMOS technology. The Advanced MOS Device Reliability and Characterization Pro- gram within SED continues to olav an important role in fostering this development by developing state- of-the-art electrical measurement methods, tools, software packages, diagnostic procedures, reliability data, and models, and by providing leadership to standards organizations such as EIA/JEDEC and the American Society for Testing and Materials (ASTM). During the past year, this program has made significant contributions in (1) basic mechanisms research (e.g., substrate hot-hole injection study, acceleration parameters for ultrathin SiO2, negative bias temperature instability under pulsed bias stress); (2) electrical characterization and analysis (e.g., effects of Dit, simulation code, and gate voltage on EOT and CET); and (3) reliability testing standards (e.g., a new JEDEC TDDB (time-dependent dielectric breakdown) standard using noise as a breakdown detection indicator). All of these are highly relevant to customers' needs. The extensive collaborations with industries and universities have undoubtedly contributed to the program's impressive accomplish- ments, and they should be continued if not further expanded. In light of the pressing needs of the customers (i.e., U.S. semiconductor manufacturers, U.S. standards organizations, and U.S. test equip- ment companies) for viable, alternative gate dielectrics in the near future, the panel strongly supports the program's emphasis on high-k gate dielectric characterization and reliability in its plans for the imme- diate future. Critical Dimension Metrology The overall goal of the Critical Dimension Metrology project is to develop traceable critical dimen- sion (CD) reference materials with dimensions and uncertainties that meet ITRS roadmap requirements. Implementation goals include fabrication, calibration, and distribution by an outside commercial sup- plier and delivery in a configuration compatible with the latest metrology tools used by the industry. The 2001 ITRS projects a physical gate length target of 45 rim for manufacturing in 2003, with measurement precision requirements of +3.7 rim (3 sigma). In 2002, SED demonstrated three-dimensional CD standards fabricated in single-crystal silicon with nominal widths of 80 rim calibrated to an accuracy of +15 rim (2 sigma). It should be noted that these three-dimensional structures are calibrated laterally but not vertically, and they have the benefit of simulating actual measurement conditions in the manufacturing area more accurately than do two- dimensional structures. By performing high-resolution transmission electron microscopy (TEM) on the

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 99 structures, the lateral width, or CD, can be measured directly, counting silicon lattice planes, providing traceability to the lattice parameter. As a result of joint work with International SEMATECH in imple- menting this standard, it was decided to change the structure from silicon-on-insulator (SIMOX) to silicon bulk material having etch stop properties induced by special wafer doping. This new structure has reduced charge-up problems while being examined in the scanning electron microscope (SEM), the usual method used in manufacturing for CD measurement. However, the fabrication capability for the new materials is not yet equivalent to the SIMOX material. Current fabrication capability produces material with a nominal CD of 180 rim and a calibration uncertainty of +5 rim (2 sigma). Since TEM measurements are destructive and expensive, secondary calibration for volume produc- tion of standards was to have been done by electrical resistivity measurements correlated to TEM measurements on the same wafer. However, because of edge roughness and other effects, the precision of this method was found to be unsatisfactory, and high-volume CD SEM measurements were substi- tuted for electrical resistivity. The development of atomic force microscopy calibration methods for the replacement of more costly CD SEM measurements is now under way. SED believes that it can meet roadmap requirements with these new methods and deliver CD measurement standards with nominal 50-nm CD uncertainties of +3-5 rim (2 sigma) in 2003. Scanning-Probe Microscopy The initial goal of the Scanning-Probe Microscopy project is to develop the measurement infrastruc- ture necessary for scanning capacitance microscopy (SCM) to provide two-dimensional dopant profiles of ultrashallow junctions, meeting the ITRS goals, and then to transfer the NIST-developed technology to industrial users by way of software, publications, characterized samples, and collaborative projects with industry. An ultimate goal is to expand this project into a broader base of scanning-probe micros- copy-based semiconductor metrology techniques. Major breakthroughs this year included the identification of stray light from the position-sensing laser in the SCM as a source of noise that was limiting measurement sensitivity. Strategies for control- ling this source of noise were identified and implemented, and a superior sensitivity in the mapping of dopant distributions across ULSI semiconductor junctions was achieved. A publication describing the effect and an application for a patent have been submitted. NIST will distribute personal computer (PC)- compatible software for interpreting SCM images (12,000 lines of optimized code, called FASTC2D), along with a descriptive manual and reference samples. Power Semiconductor Device and Thermal Metrology A major problem facing the rapidly emerging area of advanced power semiconductor devices is the ability to evaluate the performance and reliability of these devices while they are operating at high voltage, current, and frequency. The Power Semiconductor Device and Thermal Metrology project continues to focus on developing thermal and electrical metrology tools for the characterization of these advanced electronic devices that include, notably, silicon carbide-based power devices. Unique metrol- ogy tools have been developed to map the degradation of SiC bipolar devices, measure nondestructively high voltage/current switching failure, monitor thermal properties of high-power packaging and cooling systems, and measure on-chip temperature using high-speed thermal imaging. Since last year's review, this project's researchers have become fully engaged in the Defense Advanced Research Projects Agency (DARPA) Wide Band Gap Semiconductor Technology Initiative. NIST acted as a coordinator to form the NIST/Army Research Laboratory (ARL)INaval Research

100 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 Laboratory (NRL) Power Device Evaluation Team to evaluate all power device deliverables for the DARPA SiC Wide Band Gap Semiconductor program. In addition, NIST assisted DARPA in defining the deliverables from contractors that will enable the evaluation team to monitor the progress of the program and to make recommendations to the program managers on the future direction of the program. Technically, NIST has tasks addressing the following SiC issues: metrology for mapping SiC power bipolar device degradation, metrology for nondestructive switching failure, and circuit simulator models for SiC power switching devices. NIST also has the responsibility of helping to evaluate the progress of the DARPA SiC Wide Band Gap Semiconductor program and the industry applicability of the SiC devices developed in the program. NIST will coordinate activities with the DARPA Power Device Evaluation Team (NIST/ARLINRL) and will apply the results from the tasks listed above to develop circuit simulator component models for all of the device deliverables from DARPA contractors. Finally, NIST will provide recommendations to the DARPA program manager and participate in DARPA program reviews. The project team has used a combination of its MEMS in-house process capability, the standard CMOS system-on-chip (SOC) design and fabrication capabilities of an outside supplier, and the thermal imaging capabilities of its unique thermal imaging microscope to develop a sensitive gas sensor capabil- ity for homeland security. A metal-oxide micro-ho/plate-based gas sensor is fabricated in situ on the fully processed CMOS SOC. This integrated combination has achieved 100 times better sensitivity than that of previous world-best gas sensors and is easily manufactured at low cost. This project team has characterized the micro-ho/plate using its high-speed transient thermal imaging microscope. The ther- mal imaging microscope has a spatial resolution of 15 Em and a time resolution of 1 Us, providing the capability of characterizing the critical micro-ho/plate component of the gas sensor. The panel is pleased with the formation of several major collaborative efforts that include the following: major corporations that are contractors in the DARPA initiative, DOD laboratories in the formation of the Power Device Evaluation Team, and several universities with leading programs in high-power electronics. Compound Semiconductor The panel is also pleased to see continued progress in the development of unique spectroscopic methods that are being used to study novel compound semiconductor device structures (heterojunction bipolar transistor, high electron mobility transistors), with a strong focus on group III nitrides in the application areas of radio frequency (RF), power, and optical electronics. The NIST Compound Semi- conductor project has assembled a low-cost, state-of-the-art capability for contactless electromodulation and surface photo-voltage spectroscopy by leveraging the use of existing components from within NIST laboratories at a savings of 80 percent. This technique has been utilized to make the first contactless diffusion length measurement of GaN and AlGaN and will be used to investigate the properties of metal contacts on GaN-based device structures. With the addition of this expertise, this NIST project has been awarded a joint contract with ATMI (Advanced Technology Materials, Inc.) of Danbury, Connecticut, under the RF Technologies thrust of the DARPA Wide Band Gap Semiconductor Technology Initiative that is focused on providing thick- ness and composition measurements and standards for 20- to 30-nm AlGaN films on sapphire sub- strates. This is an across-NIST effort, involving the Materials Science and Engineering Laboratory for X-ray diffraction, electron microscopy, and neutron activation analysis; the Chemical Science and Technology Laboratory for Raman spectroscopy; and KEEL for optical and spreading resistance mea- surements. KEEL's effort involves a unique system being developed in the Semiconductor Electronics

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 10 Division and the Optoelectronics Division for mapping spreading resistance and metal contact resis- tance across wide band gap materials films with 40-pm spatial resolution. The panel is pleased with the direction of the NIST team, the progress in the development of a state- of-the-art laboratory, the acquisition of DARPA funding, and the growth of collaboration among NIST laboratories, universities, and industry. Microelectromechanical Systems The MEMS project team made impressive progress in 2003 in developing new bioelectronics projects in collaboration with the National Institutes of Health (NIH), while continuing good momentum in existing programs of standard test structures, SM3 competence-building initiative, and ATP projects. This team communicates effectively with industry and academia on a routine basis. The team members have been active in society meetings and in attracting visibility to SED in its role in MEMS research for the scientific community. In addition this team continues to support the industry needs for standard test methods for MEMS. It has written and has had accepted by ASTM three test methods for length and strain measurements using optical interferometry and has developed a Web-based round-robin experi- ment to determine the accuracy and bias of the three test methods. The resulting e-standards will be the first MEMS standard test methods in the United States. The project on IC interconnects and wire bond test structures developed MEMS structures for measuring the elastic modulus of thin films and stress state during wire bonding. These projects can be very useful for the development of Cu low-k interconnects (with the test structures used for character- ization of porous, low-k dielectric films) and for evaluation of the wire bonding process. Although in its initial stage, the collaboration with NIH has good potential to extend the MEMS Project into biomedical applications. A, ~ ~ ~ The panel is pleased with the MEMS project's broad collaboration with NIST laboratories besides KEEL for example, the Chemical Science and Technology Laboratory, Physics Laboratory, and Infor- mation Technology Laboratory and a new collaboration with NIH's Instrument Research and Devel- opment Division of Bioengineering and Physical Science. The new collaborative effort has added funding and a new NIH postdoctoral researcher who has broadened the technical expertise of the team. With the new competence-building program focusing on bio-MEMS, the project has doubled in person- nel and added more than 20 percent in funding. Thin Film Process Metrology The Thin Film Process Metrology project offers an important service to the semiconductor industry in providing methodology, optical standards, and properties of dielectric thin films for thickness mea- surements of gate oxide and high-k dielectric thin films. In 2003, this project continued to make good progress in extending the optical ellipsometry method for thickness measurements of ultrathin dielectric films. The advances included an improvement in ellipsometer alignment and the study of humidity effects for improving the accuracy and reproducibility of ellipsometry measurements. The characteriza- tion research has been extended from ellipsometry to include high-resolution TEM and capacitance- voltage measurements to check the agreement of optical measurements with electrical and physical measurements for ultrathin oxides of 2-nary thickness. A Woollam VUV ellipsometer has been installed and is being tested. This instrument will extend the optical measurement to the 0.75- to 8.5-eV range, making it possible to measure high-k dielectrics with band gap usually in the 5.5- to 6-eV range. The continuing advance of the CMOS technology presents significant challenges to this project,

102 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 pushing the limit of optical techniques for thickness measurements of gate dielectrics to the nanometer range. The implementation of high-k gate dielectrics requires accurate optical standards and a database for calibration of thickness measurements. The development of new device structures, such as quantum dots and silicon on insulator (SOI), provides additional research opportunities. These are focal areas of this project for 2003, and the panel found them to be timely and relevant to the industry. The panel is particularly interested in further development for the calibration of optical thickness measurements using complementary TEM, electrical and electron spectroscopy methods for graded interfaces, and stacked structures in the nanometer thickness range. Nanoelectronic Device Metrology the Nanoelectronic Device Metrology project aims to investigate and develop metrology for two post-CMOS technologies in two related tasks: (1) the Molecular Electronics task and (2) the Si-based Nanoelectronics task. The premise is that the industry for emerging nanoelectronic devices will require reference data, standards, measurement protocols, and standardized test structures as well as associated measurement protocols to develop these devices into a viable commercial technology. Although the program is only a little over a year old, there has been significant progress in building up the infrastructure, including the recruitment of team members, formation of research alliances within NIST as well as externally, the acquisition as well as installation of several pieces of major processing equipment, and the development and improvement of device processing capabilities. This infrastructure buildup will undoubtedly help to accelerate research progress in the next few years. There have also been some tangible results of significance in both tasks, including the development of fabrication processes for a variety of molecular electronic test structures, the successful electrical characterization of these test structures, the design and fabrication of mask sets for Si-based single- electron transistors, and the characterization of Si-based quantum dots. The panel is pleased to see a forward-looking project such as this one taking root in SED and looks forward to its future success. Office of Microelectronics Programs The Office of Microelectronics Programs continues to successfully initiate and manage a broad portfolio of NIST programs in support of the semiconductor industry. OMP provides substantial funding to NIST overall and to SED. It also brings in additional funding, enhances crosscutting efforts across the NIST laboratories, and ensures relevance to needs defined in industry roadmaps for example, the International Technology Roadmap for Semiconductors. OMP continues to expand its contacts within industry, industry groups, and now, internationally. Specifically, this past year it expanded its linkages to the Semiconductor Equipment and Materials International standards bodies and to IMEC (Interna- tional Market Expansion Corporation, a major European consortium chartered to advance semiconduc- tor manufacturing technology). OMP continues to facilitate the external visibility of NIST programs and results to customers and provides dissemination resources. Program Relevance and Effectiveness Overall, the Semiconductor Electronics Division, through its in-depth knowledge of semiconductor measurement needs and its excellent strategic planning process which continues to improve each

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 103 year has engaged in key programs that have immediate and longer-term benefit to the semiconductor industry. This includes two long-range competence-building basic research projects, SM3 and Molecu- lar Electronics. These efforts are expected to result in the addition of new capabilities and to enable NIST to be prepared for the key developments that are expected to occur in these areas. The Critical Dimension Metrology effort continues to result in improvement in fundamental CD measurement standard technology that will ideally lead to the delivery in FY 2003 of a successful standard to the industry that meets ITRS roadmap requirements. Plans are in place to transfer this technology to a commercial supplier, VLSI Standards, to free SED from long-term manufacturing needs. Similarly the two- and three-dimensional dop ant profiling effort using scanning capacitance microscopy has resulted in a capability that, while not meeting roadmap requirements completely, provides the most advanced state-of-the-art capability in this area. And new breakthroughs continue to be made that show a potential path for fully meeting roadmap needs in this critical area. The Advanced MOS Device Reliability and Characterization project has successfully kept pace with mainstream ITRS roadmap requirements for silicon dioxide measurements, silicon dioxide still being the only gate dielectric in high-volume manufacturing for the near term and the midrange future. Research efforts continue to provide fundamental understanding and physical models for understanding silicon dioxide failure mechanisms. The success of this project's methodologies and its ability to provide standards for the entire U.S. industry is attested to by the fact that the same standards are now being adopted internationally and are being used in the qualification of offshore foundries. The Power Semiconductor Device and Thermal Metrology project continues to lead industry needs by providing state-of-the-art capability for the measurement of unique power device characteristics at critical operating conditions. Cooperation with DARPA has led to this team taking a key leadership role in the DARPA-driven Power Device Evaluation Team, which includes national laboratory, industry, and university participants. The team has used a combination of its various skills to develop and characterize a state-of-the-art ultrasensitive gas sensor capability for homeland security. The device is relatively inexpensive, using NIST MEMS and off-the-shelf CMOS SOC fabrication technologies. The Thin Film Metrology effort has provided valuable state-of-the art characterization and measure- ment capabilities for new candidate dielectric materials and is successfully transferring these capabili- ties to industry. The panel continues to see significant broadening in the scope of the MEMS-related projects, now extending into biological or bio-MEMS areas. This effort, in collaboration with the efforts of other NIST groups, has resulted in the funding of the new competence-building SM3 project that is now under way. Also, new funding and participation from the National Institutes of Health have been received. The efforts are timely, given the emerging applications of semiconductor electronics in these areas, the huge surge of activity in mapping the human genome, and the potential applicability in homeland security applications. The panel is pleased to see continued progress in the development of unique spectroscopic methods that are being used to study novel compound semiconductor device structures. The new state-of-the-art capability for contactless electromodulation and surface photo-voltage spectroscopy is very useful and relevant to research and industry needs. The Nanoelectronic Device Metrology project improved its process and equipment infrastructure necessary to produce METS this year; it purchased a reactive ion etching and developed various fabrication processes, including metal deposition at low temperature. The project characterized a variety of NIST and non-NIST molecular electronic test structures, including those produced by Hewlett Packard. ___-- ~ - --- 7 -- r -I- - ---I- -

104 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 Division Resources The Semiconductor Electronics Division continues to make excellent use of its available resources by collaborations within and external to NIST and by the use of customer or partner facilities for example, in the use of SEMATECH CD measurement capability for support of the Critical Dimension Metrology project. The panel commends the division for its successful recycling of expensive instru- mentation to start up new spectroscopic methods for studying compound semiconductor device struc- tures. Existing clean-room capabilities continue to improve for example, successful polysilicon gated metal-oxide semiconductor field effect transistors are now being made in the SED clean room in support of the oxide-quality project. Senior staff turnover in SED is expected to be high over the next 3 to 5 years due to retirements, potentially resulting in the loss of valuable expertise and management skills. The ongoing flat budgets and resulting capital versus staff trade-offs continue to result in compromised strategic planning. Project priorities are strongly influenced by funding and resource constraints. The nonincremental changes in the semiconductor infrastructure require nonincremental changes in resources that are available to this division and to the Office of Microelectronics Programs. The planned AML, with clean-room capability that is to be shared by all NIST laboratories, is believed to be essential to support the exacting future metrology needs that have been identified by the semiconductor and other nanotechnology industries. The AML will present a key opportunity to add new state-of-the-art mea- surement tools and other infrastructure required to support NIST's mission in support of industry. The AML clean room facilities will provide an essential resource for the maintenance of SED's relevance to its customer base. There has been major progress this year in the development of an overall clean-room utilization plan and associated capital equipment list. The plan that has been accepted across the NIST laboratories is a major accomplishment. The panel remains concerned that operational costs for this facility may not be fully understood and at this time are not funded. Also, the level of capital funding that appears to be in the FY 2003 and FY 2004 budget is not adequate for start-up. The Semiconductor Industry Association (SIA) has asked Congress for additional funds to support start-up of the clean room, which may resolve the funding problem; however, this remains to be demonstrated. RADIO-FREQUENCY TECHNOLOGY DIVISION Technical Merit The Radio-Frequency Technology Division provides the national metrology base for characteriza- t~on of the electromagnetic properties of components, materials, systems, and environments throughout the radio spectrum. The consensus of the panel is that excellent technical progress was observed during this assessment. The division's performance during the past year is the best that the panel has witnessed in recent years. However, the budget constriction projected over the past several years appears to be a reality in FY 2003; continually shrinking budgets year after year have cut the division to the bare bones. Succession planning factored with strategic planning is critical to the division's future. It was noted by the panel that detailed, division-level strategic planning is not visible in the Radio- Frequency Technology Division. Broad guidelines for strategic planning should be developed at the laboratory level; detailed planning and ownership should take place at the division level. The division should also develop long-range plans based on technology trends and on the need to retain critical

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS legacy systems and competency. These long-range plans should be process to provide adequate personnel, facilities, and equipment resources at all levels. And. .. . . .. . . .. .. ~ .. . . . 105 incorporated in the KEEL budget The division continues to explore new directions for the advancement of wireless technology by proactively supporting the development of standards for broadband wireless access through the program on IEEE Wireless Standards. The division also continues to make progress in aligning its projects with the needs of the telecommunications and wireless markets and with the areas of homeland defense and the metrology associated with RF exposure measurements for the National Institutes of Health. Broad- based strategic planning covering the next 5 years is becoming an increasingly essential undertaking in the NIST budget planning process as well as a tool for prioritizing programs in a changing environment. In this climate, division management has done a commendable job of nurturing new efforts focused on extending the state of the art in the area of RF technology. The following list of projects that are advancing the state of the art in RF technology demonstrates the high technical merit of the work performed in the division: · The Nonlinear Device Characterization project has characterized the phase error in the nose-to- nose calibration of sampling down converters. This advance has uncovered a previously ignored funda- mental calibration issue that causes a large uncertainty error. Also, a more general, nonlinear definition of scattering parameters was developed, in collaboration with the University of Colorado; it uses a matrix formulation and reduces to the classical definition for linear networks. · The High-Speed Microelectronics project, in conjunction with the Optoelectronics Division, has built an on-wafer electro-optic sampling system calibrated to 110 GHz. With this system, the research- ers have compared waveform measurements performed on the electro-optic samplings system to oscil- loscope measurements, and evaluated these comparisons against the nose-to-nose calibration for fre- quencies to 40 GHz. This system provides fundamental improvements in accuracy. It constitutes a core capability that will be used in other divisions of NIST and will also provide capabilities never before available for calibrating time domain instrumentation to 110 GHz. · The Power and Voltage Standards project is exploring a fundamentally new approach to micro- wave power measurements which is based on the fact that the rate at which an atom, in the presence of an RF electromagnetic wave, oscillates between two quantum states is proportional to the field strength. This new approach will provide an alternative to the RF-to-DC thermal equivalence approach that is based on more fundamental quantum principles. · The Electromagnetic Properties of Materials project has been working very closely with the health care and biotechnology industries to characterize and develop composite phantom materials (materials that emulate the electrical properties of the human body), in order to test metal detectors and to analyze electromagnetic interference with implanted medical devices. Project researchers are also developing a coaxial probe and associated software for in vitro measurements that will sunnort research in the detection of breast cancer. 1 1 · Electromagnetic compatibility (EMC) measurements at 1 GHz and above are being advanced by providing useful information to the EMC community for the purpose of developing acceptance criteria and site calibration methods for open-area test sites at frequencies greater than 1 GHz. Work is also under way to aid in the development of standards for the use of nontraditional test facilities, such as reverberation chambers and GTEM (a tool for measuring emissions radiated at frequencies below 1 GHz) cells. This work is beneficial to the International Special Committee on Radio Interference (CISPR) Subcommittee A and ANSI C63. This participation by NIST personnel is to be commended and supported.

106 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 · The Metrology for Bioeffects of RF Energy project in support of the National Institutes of Health is conducting research using reverberation chamber technology. Rats are modeled by 0.5-liter water bottles, and the effect of multiple such phantoms on field distribution in reverberation chambers is being investigated. This work should result in a more efficient and repeatable method of evaluating potential health effects of low-level RF fields than that provided by currently available techniques. · The Antenna Measurement Theory Application project continues to upgrade its antenna metrol- ogy capability to meet evolving customer needs. Although the current capability has been extended up to 110 GHz, industry is quickly expanding up to 500 GHz. The technology challenges, lessons learned, and uncertainty analysis developed by NIST will be invaluable as the capabilities and associated metrol- ogy push higher and higher in frequency. · The Time Domain Free Field RF project's field measurements in the new time domain facility provide a unique capability for ultrawideband RF measurements. This facility is the result of state-of- the-art modeling and design work by the division's staff. Other projects with content directed at state-of-the-art impact, whose status is best described as works in progress (with goals for state-of-the-art contributions noted parenthetically), include these: · EMC measurements and facilities (reduction to standards of reverberation chamber calibration techniques), · Standard EM fields and transfer probe standards (reduction to practice of electro-optic probe for simultaneous E, H measurement), and · A co-conical field generation system for testing small antennas, sensors, and probes from 10 MHz to 45 GHz. This system will be installed for the Air Force during FY 2003. Program Relevance and Effectiveness Calibration and standards measurements in the Radio-Frequency Technology Division generally fall within two categories: radio-frequency standards and radio-frequency fields. Discussions of the relevance and effectiveness of these services, by category, are presented in this section. The calibration services continue to provide a variety of core measurement services in power, impedance, voltage, and noise, as well as transfer standards, over the frequency range of 10 kHz to 110 GHz. Because speed and low cost are critical in these calibration services, many of these tests have been automated and optimized to improve measurement throughput. Power and voltage standards have improved the understanding and uncertainty of 2.4-mm coaxial power detectors through 50 GHz. The direct-comparison power measurements system has greatly increased calibration throughput and allows measurement runs from 50 MHz to 50 GHz in a single measurement pass. The capability to perform 2.92-mm coaxial power measurements has been added to the direct-comparison system. Scattering parameters are core to many of the measurements provided by NIST. Improvements were made in the one-port calibration techniques to simplify and reduce calibration time. Frequency coverage is now extended to 65 GHz with the 1.85-mm connector. Also, WR-10 and WR-15 s-parameter calibra- tion services are now full band. Testing of the new 1- to 4-GHz coaxial radiometer (NFRad) and the 30- and 60-MHz radiometer has been completed. These radiometers can make noise-parameter measurements at virtually any frequency, from 1 GHz to 65 GHz as well as 30 and 60 MHz. They are now well positioned to provide noise-figure and noise-parameter measurements over this same frequency range. They also assisted in evaluating the

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 107 calibration of NASA' s conical scan microwave radiometer, resulting in an improved uncertainty analy- sis as well as design improvements. Nonlinear measurement and metrology methods remain a critical issue throughout the field of wireless and wire line communication and will have extensions into ultrawideband nonlinear applica- tions. Measurement capability has been extended from 20 GHz to 40 GHz. Suitable methods for comparing the measurement accuracy between different measurement systems have been selected through collaboration with the NIST Statistical Engineering Division. Also, the measurement and char- acterization of a candidate superconducting device, developed jointly with the Electromagnetic Tech- nology Division as a "standard" nonlinearity, were completed. Collaborations with university and industrial companies continue to ensure alignment with the needs of the customers in this new area. The first step toward a NIST-led measurement comparison for nonlinear circuit characterization was also completed. In this work, a prototype of a universal testbed for characterizing electrical probes for nanoscale device and interconnect characterization and a frequency-domain method of characterizing high-imped- ance probes suitable for performing noninvasive on-wafer waveform and signal-integrity measurement were clevelopecl. Aclclltlonally, an accurate metnocl ot measuring the characteristic 1mpeclance ot a transmission line fabricated on loss silicon substrates was created, and an accurate, on-wafer calibration using this method was implemented. The methods and instrumentation for accurately characterizing small, printed coupled lines and multiline TRL (Thru-Reflect-Line calibration method) on-wafer cali- brations were also accomplished. In response to the microelectronics industry, accurate methods have been developed for measuring the dielectric properties of thin films, extending the frequency capability above 40 GHz, including on- chip measurements. In support of the printed wiring board and the low-temperature co-fired ceramic industries, the laboratory has enhanced its wideband, variable-temperature metrology for measuring the permittivity of ceramic materials commonly used in the electronics industry. Theoretical and experi- mental research is also being performed in the emerging area of metamaterials. Metamaterials allow for novel electromagnetic behavior owing to their ability to exhibit simultaneous effective negative permit- tivity and permeability. NIST is a central contributor to work on understanding and utilizing reverberation chamber technol- ogy for EMC testing. At present, such chambers produce results that do not directly correlate to traditional EMC test facilities. NIST is working to provide data to standards writing bodies to aid in the development of new standards that will apply to these chambers. An increasing concern among the public is the potential for harm from low-level electromagnetic waves from cellular telephones and other devices. Research to date has been inconclusive at best, with many studies showing a lack of understanding of basic RF measurement processes by biological re- searchers. NIST is providing the National Institutes of Health with expert guidance in the proper measurement of electromagnetic fields to aid in the repeatability of experiments in this area by investi- gating the use of reverberation chambers for animal (rodent) RF exposure studies. The commercial EMC community is performing emissions measurements significantly above 1 GHz. Techniques that are adequate for measurements and facility acceptance below 1 GHz become increasingly unreliable and time-consuming as frequencies exceed 1 GHz. Work is under way to inves- tigate alternative test methods and facilities (e.g., reverberation chambers and GTEM cells) to improve the accuracy, repeatability, and speed of testing at these higher frequencies. In order to meet the ever-increasing demands of government and industry, NIST has recognized the need to expand its frequency coverage for antenna calibrations and services. Special test services to include frequencies from 75 GHz to 110 GHz have been upgraded. However, measurements up to 500

108 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 GHz are requested routinely and cannot be performed owing to instrumentation and facility limitations at NIST. Building on a solid planar near-field foundation, NIST has extended its work into the spherical near-field scanning arena and developed a three-dimensional probe position-error correction scheme for spherical near-field scanning applications. This software has been made available to industry; the work is complementary to the planar near-field probe position work previously developed. A spherical near- field scanning computer-processing algorithm has been developed to ameliorate the effects of partial or truncated spherical scan data, which can occur due to blockage or an incomplete measurement set. This work has demonstrated that the improper processing of partial data can result in serious anomalies in the processed far field. NIST has developed and is currently manufacturing an expanded set of radar cross-section (RCS) calibration artifacts to provide the capability to calibrate systems at various signal levels of interest. There are plans to design and conduct an interlaboratory comparison to assess the results. The goal is to fully assess the technical merit and deficiencies of existing calibration and measurement procedures, data analysis techniques, and uncertainty analysis associated with RCS measurements. NIST has been instrumental in working with DOD and the RCS community to establish calibration and documentation standards. A near-field standard probe incorporating a loop antenna with double gaps has been designed and is close to completion. The probe provides the capability to measure both electric and magnetic fields simultaneously at very high levels (up to 2,000 V/m). The radio-frequency probe is fundamental to providing electromagnetic interference testing capability in regions close to source antennas and large test objects such as aircraft. This work has been ongoing for several years, with completion anticipated during the first half of calendar year 2003. The division continues to provide theoretical understanding and tools to the ultrawideband (UWB) community. By characterizing UWB devices, recent work at NIST has provided a vital step in under- standing the potential interference effects of UWB radio and other devices on existing radio services such as Global Positioning System (GPS) and airport navigations systems. NIST also developed UWB chamber qualification tools based on time-domain evaluation of site attenuation. This development provides a method to directly assess the absorber performance of fully anechoic chambers called out for testing in draft standards. The division's RF Fields Group provides vital services to the associated user community. The calibration services include Antenna Gain Measurements, FBI Antenna, OLES Timing Devices, Shield- ing Effectiveness Measurements, Radiated Emissions, and Immunity Measurements. NIST develops and evaluates reliable measurement standards, test methods, and services to support the RF and EMC needs of U.S. industry. The uncertainties of EMC and related measurements directly impact the competitiveness of U.S. manufacturers and the reliability of their products. The Radio- Frequency Technology Division's main objectives are to ensure harmony and international recognition of U.S. measurements for trade, to provide physically correct test methods, to provide national calibra- tion services, and to serve as an impartial expert body for resolving measurement inconsistencies. In order to accomplish these goals, the division is actively involved in international and domestic standards activities. The division disseminates research results by means of archival publications, conference presenta- tions, workshops, courses, and external interactions, including a Web page. It also makes applications software available for downloading from its Web page.

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS Division Resources 109 The Radio-Frequency Technology Division has again been significantly affected by the loss of several key personnel owing to serious illnesses and retirement. The panel commends the division for perseverance through these difficult times. The current staff, even with the pressure to do more with less, appears to be highly motivated. Staff members are continually looking for ways to perform their jobs in a more efficient manner, especially in the traditional areas of calibration and characterization. The panel observes that budgets shrinking year after year create an ever-shrinking workforce. As more and more is asked from a division having to maintain legacy systems and salary increases, personnel who leave cannot be replaced. Succession planning factored with strategic planning is critical to the division' s future. This planning must be done before the staff shrinks further, creating a situation in which critical work cannot be continued until new capabilities are developed. The shrinking workforce is starting to impact the division's ability to perform its fundamental functions in supporting industry and national defense. As stated above, broad guidelines for strategic planning should be developed at the laboratory level, and detailed planning and ownership should take a place at the division level. The division should develop long-range plans based on technology trends. These long-range plans must be incorporated in the KEEL budget process to provide adequate personnel, facilities, and equipment resources to maintain the division's ability to provide critical services. In addition to long-term plans, current processes and procedures should be documented to allow for the smooth training of new personnel in the future. The panel again deems the current status of Building 24 as marginally functional. Although the condition of the facility has improved, its current state will significantly compromise NIST's ability to perform near-field antenna pattern measurements as they continue to push to higher frequencies (beyond 110 GHz); a prime example is the new Millimeter-Wave Planar Near-Field system. Continuing the development of facilities for higher frequencies will enhance KEEL's understanding of the limitations imposed by the current facility. Environmental control is a key contributor in the uncertainty analysis of virtually every measure- ment system in the division. Without the ability to control this environment, the quality of the final product delivered to the customer is significantly degraded, if not compromised. Each of the laboratories must have the ability to control these environmental factors. Attempting to design and maintain an environmentally controlled facility after its construction is very inefficient and expensive. The proposed RF EM-Field Metrology Laboratory (REML) would provide such an environment. The Radio-Frequency Technology Division has developed a proposal for a new world-class radio- frequency electromagnetics experimental research and measurement standards facility that will enable NIST to carry out its mission to support industry. REML will provide the capability to address a broad range of national and international requirements for precise characterization of free-space and bounded EM fields throughout the radio spectrum. Many of the current facilities are dated and are no longer adequate to address emerging commercial and international measurement needs. Owing to the pervasive use of wireless communications and other emerging electronic technologies, the world electromagnetic environment is becoming increasingly dense and more complex. As a result, the performance of mea- surements and calibrations outdoors is becoming problematic. This problem has led other countries to invest in developing the next-generation electromagnetically shielded indoor measurement, research, and calibration facility. The Boulder EM measurement facilities would have been adversely affected when the high-defini- tion television (HDTV) networks became operational over the next several years, owing to the labora-

110 .. . . AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 tory's proximity to possible transmitter locations. However, as a result of the diligent efforts of the division chief, these transmitting antennas have been moved to a location farther away from the labora- tory, preserving the ability of the laboratory to continue its w. ork. In spite of this success, the panel ~ 1 0 ~ ~ 1 1 suggests that a formal architectural and engineering study of the proposed KL;ML facility be performed. The new facility will consolidate the electromagnetic field activity (laboratories and personnel) under one roof, which will foster increased intradivision interaction and collaboration and will increase effi- ciency in meeting customer and internal research needs. REML is critical to the future success of the division. The short-term plans for enhancing the existing laboratories will result in more resistance to developing REML "there is nothing more permanent than a temporary situation." In addition to the REML facility, strong consideration should be given to building a new open-area test site (OATS) facility at the nearby national radio quiet zone. ELECTROMAGNETIC TECHNOLOGY DIVISION Technical Merit The Electromagnetic Technology Division creates, develops, and promulgates state-of-the-art mea- surement capabilities and standards using quantum phenomena, low noise available at cryogenic tem- peratures, and fabrication of specialized integrated circuits, including nanometer-size devices. The division does not focus on a single industry, but rather sees its overall mission as unique standards development for various electrically based industries. The division balances its mission to industry, government, and scientific organizations with programs that are directed to more fundamental work that can potentially lead to new standards. The Electromagnetic Technology Division is divided into four projects: the Quantum Voltage project, Cryogenic Sensors project, Quantum Information and Terahertz Technology project, and Nanoscale Cryoelectronics project. There is no group-level organizational structure in this division. The technical merit of each project is addressed in the following discussions. Quantum Voltage The Quantum Voltage project focuses on the development of new and enhanced AC and DC voltage standards based on superconducting Josephson junctions. Its primary goal is to develop a quantum- mechanically accurate voltage source, both for AC and DC metrology. A Windows-based software package has been developed to update and replace the older, DOS-based software for the conventional 10-V Josephson voltage standard. A programmable 1-V Josephson voltage standard was delivered to the Electricity Division, and continued systems support is being provided. To achieve the necessary higher voltage outputs, arrays have been coupled in series, and nanoscale junction technology and novel multilevel-stacked junctions are being developed. A record level of one-quarter-volt AC has been demonstrated. This system, with an astounding 8,200 Josephson junctions, will be capable of synthesiz- ing arbitrary AC waveforms from DC to about 10 MHz. Comparisons with existing AC voltage stan- dards are under way. For future AC voltage sources, more compactly packed junctions will be needed, so work has begun on multilayer-stacked junctions, with stacking of up to three junctions already accomplished. The development of the stacked junctions involved a novel fabrication scheme of MoSi2 as the normal metal tunneling barrier; tests with thousands of such junctions have shown the quantized voltage steps. Work continues on the development of state-of-the-art nanometer control of the length of the normal metal

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 111 barrier. An arbitrary bit stream generator with gigabit memory and with 1-Hz resolution at 10 Gbps is needed for the waveform synthesizer; no such commercial system is available, and the panel commends the project team for its progress in developing a low-cost bit stream generator. A cryoprobe technology needed for commercial use of the 1-V programmable standard was transferred to high-precision devices. In collaboration with the Quantum Information and Terahertz Technology project, a Josephson arbitrary waveform generator for a quantum Johnson noise thermometer is under development. A quantum voltage noise source has been compared to a resistor by correlating the synthesized waveform with that produced by a resistor. The cross-correlation electronics continue to be improved. Cryogenic Sensors The tightly focused Cryogenic Sensors project is based on state-of-the-art optical and X-ray micro- calorimeters that employ superconducting transition-edge halometers as detectors of radiation. The goal of the work is to continue the development of these systems and to apply them to measurements of electromagnetic signals for applications in the semiconductor industry and in astronomy. This program is now quite mature. The basic strategy is to produce user-friendly systems that combine quantum efficient superconducting detectors operated at low temperatures coupled to efficient room-temperature electronics for processing the data. This ambitious engineering strategy is necessary to make this technology accessible to customers. The progress made on the X-ray microanalysis is impressive in its identification of particle contaminants in semiconductor processing. The technology is now being commercialized worldwide; two U.S. companies have been licensed by NIST to implement the technol- ogy. A key milestone in this project has been the placement of an X-ray microcalorimeter in the Chemi- cal Science and Technology Laboratory at Gaithersburg. This instrument is now in full operation and is achieving unprecedented energy resolution in the microanalysis of thin film and particles. Work at present is focused on comparing the relative merits of optical coupling of the X-ray signal to a single- pixel detector versus direct coupling to a multipixel array. Conventional optics systems continue to suffer from difficulties in alignment, inefficiency at high energy, and low count rate, making quantita- tive analysis slow and erratic. Replacing the detector with an array can achieve a comparable solid angle with improved count rate and stability. A 32-pixel chip has already been produced and is currently being tested. The main challenges in advancing bolometric arrays are to develop fabrication schemes for close- packing detectors and to design multiplexing electronics to read out the detectors selectively and quickly. Two attractive approaches to fabricating arrays are being pursued. The first uses deep reactive etching to form a grid of Si3N4 membranes that support the transition-edge bolometer; the second constructs suspended halometer mounts using surface micromachining with XeF2 gas. At present, 8 x 8 pixel versions of both methods have been successfully produced and are being evaluated to determine which is the most promising and scalable. Also in progress is the implementation of an improved scheme for the multiplexed readout of these arrays. It is anticipated that this scheme will be scalable up to at least kilopixel arrays. All of the components for the detector arrays are now in place, and imple- mentation of this innovative technology is expected in the next year. An optical-sensitive version of these arrays is being developed in collaboration with NASA for radio astronomy detection. NIST is a partner in developing an improved camera for the Submillimeter Common-Use Bolometer Array (SCUBA) at the James Clerk Maxwell Telescope. The first implemen- tation of an 8-bit multiplexed linear array has been demonstrated at the Caltech Submillimeter Observa- tory. This has evolved into a design for two-dimensional arrays with 104 elements cooled on a dilution

2 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 refrigerator for the new detector, called SCUBA-2. So far, single-pixel halometers of the type to be employed have been demonstrated and characterized. Several exciting pioneering efforts targeted to future standards opportunities are currently being explored in this project. These include hot-electron superconducting switches for SQUID (superconduc- tor quantum interference device) multiplexing circuits, magnetic calorimeters, and an on-chip refrigera- tor using quantum tunneling. Quantum Information and Terahertz Technology The Quantum Information and Terahertz Technology project merges an ongoing effort in applica- tions of terahertz radiation for advanced measurements with an emerging program in quantum informa- tion processing and computation. A new project leader who is a well-known scientist and an experi- enced administrator has just been hired to head this rapidly growing project. The project's detection work has two components, imaging and spectroscopy. In the imaging effort, small-scale arrays of fabricated halometers are being used for the identification of concealed weapons at room temperature, and for astrophysical applications at low temperatures (<300 mK). A milestone in weapons detection was achieved a year ago with the acquisition of a remote image of a handgun, using a scanned single-pixel bolometer. This will be upgraded to a 120-element focal plane array to allow full image acquisition in an estimated 20 s at a distance of 8 m, with obvious applications for law enforce- ment and in accordance with the Strategic Focus Area on Homeland Security. Since the goal of this system is to provide in situ identification of weapons, a comprehensive study of the terahertz transpar- ency of various clothing and luggage materials has been carried out. The spectroscopy effort is addressing issues of relevance to both the semiconductor industry and the astrophysical community. A primary goal is to demonstrate terahertz spectroscopy as a diagnostic tool in plasma etching of semiconductors. The approach is to use submillimeter topographic spectroscopy (STS), in which rotational absorption spectra in molecular gases are used to monitor and control plasma- etching processes. This tool, developed in collaboration with the Physics Laboratory, has been shown to be effective for gas species identification and endpoint detection in thin-film etching processes. Present work is focused on developing uncooled halometers based on VOX films, which offer a 10 times improvement in sensitivity over currently used Nb sensors. It is expected that a prototype STS system will be implemented on a commercial plasma etcher in the Boulder laboratory during the upcoming year. Another highly successful effort, in cooperation with NASA, has been the study of antenna-coupled hot-electron halometers for high-frequency radio astronomy. These devices outperform superconduc- tor-insulator-superconductor (SIS) and Schottky mixers at frequencies above 1 THz. The focus contin- ues to be on antenna and sensor design and on schemes for characterizing receiver performance. The newest and potentially most exciting area in the project is the emerging effort in quantum computation and quantum information processing, one aspect of which is the development of optical photon detectors for counting single photons. Single-photon counting is essential for verifying secure, encrypted communication via quantum key distribution and is useful for a number of key tests of Bell's inequalities and explorations of linear optical quantum computing. To date, single-photon counting has been achieved using a halometer array with weak coherent photon sources. It is expected that the efficiency of this scheme can reach 80 percent by the end of 2003. The second topic of study in quantum information processing and computation is the use of single Josephson junctions as a qubit for quantum computing. The award of a NIST competency grant has L~uhLstantiallv hoisted this effort in the past year, enabling an expansion that has included the hiring of

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 113 several new staff members, the acquisition of a new dilution refrigerator system, and the development of new electronics for the experiments. So far, the entanglement of the ground state and first excited state has been demonstrated by probing the Rabi oscillations between these states in the presence of micro- wave irradiation. Experiments are under way to measure and understand the coherence time in this system in order to assess its potential for quantum logic operations. Already these experiments have led to a new readout design and suggested directions for improving the materials and circuits employed in the qubits. This effort is directly relevant to NIST's Strategic Focus Area on Information Technology and is likely to be a growth area in the next few years. Nanoscale Crycelectronics The Nanoscale Cryoelectronics project develops novel metrological integrated circuits at the nano- scale; measurement and fabrication techniques for thin films of emerging materials; and micromachined structures for unique measurement devices. A prototype of the electron-counting capacitance standard has shown a repeatability of the value of capacitance to 1 part in 107. The capacitance is found by pumping electrons using nanoscaled, cryogenic single-electron devices. Modeling of the fundamental physics of these single-electron devices has led to improvements in device performance. The resulting improved accuracy of the standard will be compared to the calculable capacitor in the Electricity Division. Work continues toward determining the range of useful frequencies for which the electron- counting capacitance standard can be used. Superconducting single Cooper-pair pumps promise faster speeds and more counts than the single-electron pumps as a current source. This source is important in enabling the electrical metrology triangle of current, voltage, and resistance. Fundamental limitations due to single-electron poisoning of the Cooper-pair tunneling have been investigated. Electrically driven, single-photon devices rely on the pumping of a single electron and hole into a nanoscaled semiconduct- ing quantum dot. The recombination results in a single photon, which is needed for applications in quantum information. Two fabrication schemes have been developed. Work on the detection of the rotational modes of a single molecule has begun. The modes are detected by coupling the electrical dipole of the rotor to a single-electron detector. Progress on devices based on single-electron and Cooper-pair devices has been impeded by the lack of available time on the ultralow-temperature dilution refrigerator. After the 2-year delay of delivery of a new refrigerator by the manufacturer, a new dilution refrigerator was constructed in collaboration with the Quantum Information and Terahertz Technology project. This system should be in operation soon. The microwave metrology of emerging electronic materials focuses on developing microwave characterization techniques and standards for thin films of materials important in future wireless com- munications and computer applications. High-temperature superconductor thin films are being devel- oped for microwave filters, microwave power limiters, and for Josephson junction mixers in the terahertz range. The project has participated in the formation of international standards for surface resistance of high-temperature superconductors and in the calibration of nonlinear phase noise. Thin films of ferro- electrics are being studied as tunable dielectric capacitors for use in devices at microwave frequencies. The microwave losses and dispersion have been measured. Microelectromechanical structures enable unique measurement devices and systems, ranging from ion traps to cryogenic sensor array systems. Program Relevance and Effectiveness The customers for the Quantum Voltage project are the U.S. electronics instrumentation industry, the domestic and international standards community, and the U.S. military. Support continues to be

4 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 provided to industries involved in the commercialization of the DC pro arammable voltage standard; the support includes undated software. . . . .. . ~ _ _ , improved electronics, and the cryoprobe that was transitioned to ~nciustry this year. The quantum noise thermometer will improve the metrological precision of electrical instrumentation. The Cryogenic Sensors project seeks to create unique devices and systems for metrology and instrumentation based on submicron devices and milk-kelvin temperatures. The customers for the microcalorimetric programs include the semiconducting processing community and the chemical stan- dards community (for X-ray microanalysis) and NASA (for imaging arrays). Several initiatives have the potential for new standards and for impact on industrial efforts. The microcalorimeter arrays for X-ray and infrared spectroscopy are very attractive to the semiconductor industry and may become a mainline diagnostic tool for manufacturing. They may also have a dramatic impact on the astronomy community. As noted above, successful implementation of a microcalorimeter in the Chemical Science and Technol- ogy Laboratory at Gaithersburg has been made and is working effectively. Commercialization has been slowed by a variety of technology transfer and contractual difficulties, but it is still progressing. As the rapid development of halometer arrays continues, it is expected that these elements will have broad impact in radio astronomy and other detection applications. The goal of the Quantum Information and Terahertz Technology project is to develop sensors in the millimeter-wave and near-infrared regime with improved accuracy, speed, sensitivity, and function- ality. Customers include the standards laboratories (in the area of radiometry and thermometry), NASA (for infrared astronomy), and DOD contractors. The newly formed effort in quantum information science is relevant to national interests in security, communications, and computing. The impact of this project is broad and diverse. It is serving the Office of Law Enforcement Standards, the Department of Justice, and the law enforcement community through the development of a 100-GHz, concealed weapons detection system, which could make concealed weapons detection much less obtrusive and more widely used, thereby saving lives and reducing confrontations. This is just one of many efforts within the Electromagnetic Technology Division that could benefit the emerging home- land security aspect of the NIST program, and it represents a unique opportunity for KEEL to promote a part of the program that can be understood and appreciated by the general public, a key step to obtaining additional government funding. Another major success has been the semiconductor tomo- graphic spectroscopy program, which could help with the characterization of plasma-based semiconduc- tor manufacturing tools, resulting in high yield and greater cost-effectiveness. The Nanoscale Cryoelectronics project impacts most of the projects in this division as well as others, through its unique electronic standards and its maintaining of the microfabrication facility. The completion of the quantum metrology triangle with the single-electron counting capacitor will be important for fundamental science and metrology. The single-electron counting capacitor will support electronic instrumentation industries by providing a portable capacitance standard. The single-photon devices are important for quantum encryption and quantum computing projects in this division and for other such efforts worldwide. The superconducting current limiter is being developed for the Office of Naval Research to protect superconducting microwave systems that are important in telecommunica- tions. The microfabrication facility is an important infrastructure to many users in this division and others. Single-electronic devices, microcalorimeters, superconducting qubits, the voltage standard, and most of the other devices in this division are fabricated in this facility. Micromachined ion traps have been fabricated in conjunction with the Physics Laboratory's Time and Frequency Division for applications of the atomic clock and quantum computing. Surface micromachined structures for thermally isolating cryogenic detector arrays have been developed in collaboration with the Cryogenic Sensors project.

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS Division Resources 115 The remodeling of several of the division's large laboratories is nearly complete. However, there is still frustration among the staff that the age of the building itself hinders effective climate control. The temperature in some of the laboratories can vary greatly during the day in the summer. The core standards work on the Josephson voltage standard and the electron counting standard capacitor needs more support from KEEL. It is only by outside-agency funding that these programs can maintain their standards work. The reduction of their budgets each year by KEEL tends to send the wrong message to staff members, who are doing outstanding and innovative research and development on core standards for NIST. The relationship between the newly appointed NIST fellow and the division needs clarification, especially with respect to staff responsibilities, office space, laboratory space, and personnel develop- ment and reviews as well as overall division projects and plans. A new program in the quantum Hall effect is being considered. This program would involve the growth of high-quality GaAs heterostructures for studying the physics and standards application of the quantum Hall effect for a resistive standard. If successful, the growth capabilities would also allow the division to be a supplier of high-quality samples to other divisions and other groups nationwide. At present there is no facility in NIST for the growth of such materials for resistance standards. The study of the quantum Hall effect would be linked to the superconducting fabrication and device efforts; this synergy would provide a unique opportunity for developing both voltage and capacitance and resistance metrology on the same chip. This is a high-risk but potentially very high payoff project. OPTOELECTRONICS DIVISION Technical Merit The Optoelectronics Division provides the optoelectronics industry, its suppliers, and its customers with comprehensive and technically advanced measurement capabilities, standards, and traceability to those standards. The division is divided into three groups: Optoelectronic Manufacturing, Sources and Detectors, and Optical Fiber and Components. The groups are subdivided into various topic areas, including Continuous Wave Laser Radiometry, Pulsed-Laser Radiometry, High-Speed Optoelectronic Measurements, Interferometry and Polarimetry, Spectral and Nonlinear Properties of Optical Fiber and Components, Semiconductor Growth and Devices, Optoelectronic Materials Metrology, and Nanostruc- ture Fabrication and Metrology. The division staff is broadly talented and experienced and is doing world-class research. The researchers are recognized throughout the field for their technical leadership and their calibration services. Besides the unquestioned relevance of their work to the support of the optoelectronics industry, they have also been extremely responsive to applying their talents and techniques to the issue of homeland security. The technical merit of the projects in the Optoelectronics Division is extremely high, and the panel commends the staff for the quality of its work. In many areas, researchers in this division are the world- recognized experts in their field, in addition to supplying the world's best calibration services. They produce a large number of important Standard Reference Materials (SRMs) and are supporting addi- tional NIST-traceable services to the industry through novel measurement assurance programs (MAPs) and through source and detector calibration services. The division has done an excellent job of dissemi- nating its work through conference presentations, especially including many invited talks and journal articles. Additionally, there is a greater effort to regularly update an existing Web site that presents

116 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 highlights of division work and supports publication downloads. The division continues to participate in standards bodies and literally to set the standard for quality in the optoelectronics industry. Overall, the division has made great progress over the past year, met the milestones highlighted in its overview document, and made significant progress toward its longer-term goals. The Optoelectronics Division has been extremely responsive to the recommendations from the FY 2002 assessment. Some examples include the increased calibration services for higher-power fiber-coupled power meters. The panel had recommended extending the capability to 0.5 W to support the developing industry sector for Raman amplifiers and Raman pump lasers. The division now supports this high-power calibration. In addition, the panel had recommended that the high-speed photodetector calibration services be extended from 65 GHz to 1 10 GHz. The division has extended its calibration services to 1 10 GHz and in addition supports both amplitude and phase measurements. The panel had recommended increased research and outreach in the area of polarization mode dispersion measurement and emulation. Researchers from the division have greatly increased their visibility into and reputation in the field by attending special symposia and leading industry workshops in this important field of study. The panel had encouraged a wider dissemination of results, and a Web site has been set up to serve this purpose. Highlights indicating the technical merit of the division's accomplishments during the 2003 assess- ment period are presented by group in the following discussions. Optoelectronic Manufacturing The Optoelectronic Manufacturing Group develops measurement methods and provides data to support the efficient manufacture of optoelectronic devices and for fabricating advanced devices to support metrology research. Maintaining the level of excellence in the U.S. optoelectronics industry is critical during this economic downturn; the entity that maintains its capability, history has shown, will benefit most when the upturn comes. Partially owing to consistent government support, including that from NIST, the United States remains the world's leading manufacturer of optical communications components and systems for the large and still growing fiber optic communications industry. This unfortunately is not true for the semiconductor and wireless communications industries. In the area of measurement methodology, impressive capabilities to determine source gas purity have been demonstrated. This work on gas purity is very relevant, and the extremely sensitive in situ measurements, down to 20 ppb for oxygen, will be helpful to both the semiconductor and optoelectron- ics industries. Improved control of impurities in source gases is expected to increase wafer yields and to allow better control of semiconductor device characteristics. The Optoelectronic Manufacturing Group has been able to correlate phosphine purity with incorporated oxygen in molecular beam epitaxy (MBE) growth. Future work will highlight correlating gas purity with device performance and will also focus on determining concentrations of additional impurities in additional source gases. The base ringdown optical sensing technology developed for these MBE source gas characterizations should also have application in sensing poison gas and biohazards in the areas of homeland security and defense. There are a number of excellent advances in the area of device development for new metrology applications. Recent results highlighted measurements of ensembles of quantum dots as well as the demonstration of a single-photon turnstile. The ability to generate a single photon, on demand, should allow for new and fundamental advances in optical metrology and in secure communications applica- tions such as quantum cryptography. In addition to the single-photon turnstile, photonic crystal devices are being developed to help control or capture the single photons generated by the turnstile. These photonic crystals will also be used to understand metrology issues associated with photonic band gap

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 117 materials and devices. The work on nanotechnology should be expanded because of both its relevance to the new technology thrusts of the fiber communications industry and its importance to fundamental advances in metrology. Advances in the area of nanotechnology have been supported by a competence award and should continue to be supported at a high funding level. Sources and Detectors The Sources and Detectors Group develops standards and measurement methods for characterizing optoelectronic sources and detectors. This group has three subgroups focusing on (1) continuous wave laser radiometry (2) oulsed-laser radiometry and (3) hich-sneed measurements. The Proud continues to __ _ of, ____ ~ ~ O · . . . . . -. . - · . . .. .. · . . . .. . . · . - · · . - provlcle valuable calibration services tor both the semiconductor and the telecommunications 1nclustrles. Since fees for calibration services are now allowed, these services provide an important funding base in a climate of fixed STRS/OMP funding levels. Over the past year, the Sources and Detectors Group has improved its laboratory facilities, forged stronger ties with industry (as evidenced by the increase in calibration services of 11 percent), and developed measurement and calibration services that are the world's best and NIST-traceable. Owing to the growth in the electronics industry, this group could potentially continue to increase its interaction with industry by performing calibration services for foreign industries. In addition, as the semiconductor industry continues to evolve, the group should consider the possibility of adding more wavelength capability focusing on moving toward even shorter wavelengths. The strong interaction of the Sources and Detectors Group with the optical fiber communications industry should be continued; it is clearly supporting the industry well. The source work has primarily focused on telecommunications wavelengths, providing measurement capability for "relative intensity noise," and recently improved the maximum absolute optical power calibration at the 0.5-W level for semiconductor diode pumps for erbium-doped fiber amplifiers, Raman amplifiers, and distributed Raman amplification pump diodes. The panel believes that the 0.5-W level should be increased owing to the ndustry~s use of novel types of fiber amplifiers that require even higher-power pump lasers. At a minimum, the group should aim for the 1.0-W level for next year. Detector measurements in the optical fiber communications area have focused on measuring the impulse response of ultrahigh-speed photo detectors. Over the past year, the group has extended the measurable bandwidth from 65 GHz to 110 GHz and also added the vector response to its measurement capability. This capability provides both the magnitude and phase of the frequency response of high- sneed detectors. The activity in this area could notentiallv expand to address new anolication areas of 1 ~ 1 ~ 1 1 1 . . . · . · . - · . . · . . . · . . . . Importance, SUCh as wireless commumcatlons, microwave photomcs, and test equipment development and calibration. Perhaps an industry tie-in could use NIST expertise to develop standards for the use of electro-optic sampling procedures and measurement methods for contactless wafer-scale testing for the wireless and broadband RF industries. The Sources and Detectors Group has also worked on developing better trap detectors. New tech- nology is currently under consideration for commercialization by ILX, a manufacturer of semiconductor laser-related products. Finally, the group's work in low-level pulsed radiometry will be critical for the future development of optical methods for homeland security and target identification. Panel's Recommendations. The panel supports and acknowledges the excellent work performed by the Sources and Detectors Group and makes the following recommendations for the next assessment period:

118 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 1. Continue advances in high-speed photodiode calibrations, maintaining magnitude and phase capabilities and expanding the application areas for these high-speed devices and measurement tech- niques; 2. Expand the dynamic range of existing calibration services, including higher fiber-coupled power measurements; and 3. Develop new wavelength calibration services, especially those at shorter wavelengths, as needed by the lithography community. Optical Fiber and Components The Optical Fiber and Components Group seeks to develop measurement methods and to help industry and government laboratories with measurement and calibration needs in the areas of optical fiber and component properties. In particular, this group supports the optical fiber (light wave) commu- nications industry. Despite the depressed state of this industry over the past 2 years, it is widely acknowledged that, looking forward, information technologies and systems will play a major role in driving the economy, improving work efficiencies, and indeed, enabling hitherto unimagined functions and applications. A mainstay of the information era is light-wave communications technology, which enables cost-effective transport and distribution of massive amounts of information. When the economy regains its vigor, light-wave technologies and systems will be in great demand, and innovations and new applications will require ever-more sophisticated measurement techniques and standards. In addition to supporting the optical fiber communications industry, expertise in light-wave technologies developed in this group have immediate and direct application in the area of homeland security. Polarization-mode dispersion (PMD) is a critical property of optical fibers and components and has been an important area of contributions and accomplishments by the Optical Fiber and Components Group. This group has developed SRMs, providing traceability for first-order PMD measurements, which are now available. Present and future activities on PMD emulation and compensation, narrowband capability for PMD measurement, multiple-reflection effects, and second-order PMD measurement are all important for the development of future optical networks. Equally important is the work on chro- matic dispersion. The panel continues to encourage joint endeavors and alliances with the industry and academia in these areas of advancement. For example, a novel technique for measuring modulator chirp developed by this group is potentially the most accurate technique developed to date, and industry input on the necessary accuracy for chirp measurements should be solicited and supported. The work on wavelength standards has been outstanding and has served well the critical needs of the industry. Various SRMs are now available, and new standards are being developed for example, the novel hybrid fiber Bragg-grating/molecular-absorption wavelength reference transfer standard. The work on high-accuracy frequency comb and super-continuum noise measurement is in the arena of leading-edge techniques; the panel looks forward to interesting results and encourages collaboration with local expert groups. Optical performance monitoring without the traditional optoelectronic digital conversion and mea- surement is an area of intense endeavor in industry. The panel encourages the group to investigate how NIST can contribute to meeting the requirements and to stimulating innovation in this area. Program Relevance and Effectiveness The Optoelectronics Division has continued to support the industry through unparalleled calibration services, improving and expanding on the base of services supported. During the past year, the division

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 119 performed more than 312 calibrations for almost 50 entities, developed a new polarization-dependent loss measurement assurance program, developed the first-ever vector frequency response detector cali- bration at 110 GHz, and improved existing calibration techniques. Improvements to the division's calibration services included an increased Q-series calorimeter range, linearity measurements for 193- nm detectors, 157-nm calorimeters, increased accuracy of measurements of relative group delay, and higher-power fiber detector calibrations. New material calibrations and measurements include deter- mining the refractive index and birefringence of AlGaN materials, characterizing the optical properties of single self-assembled quantum dots, and using cavity ringdown spectroscopy to measure water concentrations in phosphine. The quality and direction of the current work in the Optical Fiber and Components Group are world- class, and its accomplishments are critical to the development of the light-wave industry and future optical networks. Its well-noted outreach to the light-wave community (e.g., participation in standards groups, symposia, and conferences) has gained for the members of the group well-earned recognition as leaders in the field. The provision of various SRMs has served the industry well, and continuing work in this area is essential. The panel wonders if innovative means for recovering the development cost of the SRMs could be found in order to support this work. Perhaps the measurement assurance program is a good step in this direction. In the area of materials characterization, the Optoelectronic Manufacturing Group continues to set the standards for higher-purity materials and better-defined compositions. This work is extremely im- portant in supporting technology developments such as solid-state lasers for lighting, biological agent detection, and data storage. Many industry and academic customers rely on this group not only to perform the most accurate measurements, but also to provide accurate correlations between material parameters such as refractive index and birefringence, for example. In addition, the group helps main- tain industry-wide measurement consistency by sponsoring measurement round-robins and by support- ing semiconductor composition standards development. The panel is pleased to see the first SRMs for AlGaAs composition becoming available and looks forward to such continuing efforts on InGaN. The panel wonders if measurements of strain and injection-induced refractive index measurements on active components such as VCSELs (vertical cavity surface emitting lasers) might not be better done by industry or academia, since the results are highly dependent on fabrication process and structure. Finally, the Optoelectronics Division has done an excellent job of expanding its measurement techniques and calibration services to include the support of homeland defense. For example, the cavity ringdown spectroscopy techniques used to measure water concentrations in phosphine may be applied to lethal gas and explosives detection. The single-photon turnstile is expected to support work in the fields of secure communications and computing, most notably, quantum key distribution and quantum com- puting. Also, the low-level pulsed-laser radiometry services supply the armed services with calibrated systems for military applications. Division Resources The Optoelectronics Division stands out as unique when compared with other divisions within KEEL, because it is tasked with supporting the most rapidly developing and most highly diversified industrial base, the optical networking and telecommunications industry. This task offers great opportu- nities for excellent work, but it also presents challenges for balancing research and services. Supporting a growing number of evolving services while generating the new measurement techniques and standards that this dynamic industry demands is difficult, especially in an environment of flat or shrinking bud- gets. However, the panel believes that the team within the division is up to the task. The panel encour-

120 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 ages KEEL to provide as much additional support to the Optoelectronics Division as possible, so that riskier and newer research projects may be pursued alongside continued innovations in more traditional research projects and services. Funding limitations will restrict the scope of the programs that can be supported by the division. As a result, an aggressive review of priorities and mission areas must be regularly undertaken. The division has been proactive in supplementing its budget with outside funds, but the panel believes that more base funding could be directed to this division, especially for supporting the newer and higher-risk research areas that may develop into important industry standards on a relatively short timescale. It seems reasonable that some of these new topic areas could be supported by nonbase funding, but it is also necessary for some base funding to be available for shorter-term, discretionary project development. W. bile some progress has been made tow. ard improving facilities. deficiencies continue to hamper ~ O ~ O , ~ work in the division. Upgrades and consolidation of division research areas are long overdue and should be further implemented. A highlight of the past year was the establishment of a newly renovated laser calibration laboratory. By simply moving to a new laboratory space, measurement noise was reduced by a factor of two, and calibration accuracy was therefore increased. The panel is also concerned that travel restrictions might sever the division's ties with industry. The panel is highly supportive of the outreach that the division achieves by attending conferences, giving presentations and tutorials, and organizing and attending workshops and standards meetings. The suc- cess and the impact of the work in this division on the optoelectronics industry depend on travel to industry events, and every effort should be made to ensure that these activities are supported at some level. Despite the funding challenges, the Optoelectronics Division is providing relevant and high-quality support of measurement techniques, services, and standards to the optoelectronics industry. The panel commends the division for delivering on the priorities and goals set out last year and for leveraging expertise throughout the laboratory to produce the highest-quality results. The division has done an excellent job of balancing research and services, and the staff has been proactive in applying its knowl- edge and techniques to the concerns of homeland security and defense. The panel encourages the division to be as nimble as possible in supporting new research and measurement areas in order to try to keen ahead of the auicklv developing industry. At the same time. it encourages the division to maintain 1 1 ~ 1 0 ~ O its reputation for technical excellence and to continue to support the services relied upon by the opto- electronics industry. It will continue to be difficult to set priorities relating to this diverse and ever- growing industry, but the panel believes that the division has the leadership and staff necessary to support this dynamic industry, whose recovery is so important to the future economic success of the country. MAGNETIC TECHNOLOGY DIVISION Technical Merit The Magnetic Technology Division's (MTD's) mission statement remains unchanged from last year: "To strengthen the U.S. economy and improve the quality of life by providing measurement science and technology primarily for the magnetic technology and superconductor industries." In last year's assessment, the panel recommended that the mission statement be changed to reflect the division's commitment to standards. This recommendation stands, for the panel believes that although reference to standards is contained in the expressions of the vision and goals of the division, the mission statement has a higher visibility and gives a unifying direction to standards activity. Once again, this panel

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 12 recommends modification of the division's mission statement to include the word and concept of "standards" explicitly. The MTD consists of two groups Superconductivity and Magnetics which are divided, respec- tively, into two projects (Standards for Superconductor Characterization and Superconductor Electro- magnetic Measurements) and four projects (Nanoprobe Imaging, Magnetic Recording Measurements, Magnetodynamics, and Magnetic Thin Films and Devices). The MTD leadership has undertaken a review of current work and potential areas for growth in the division and is developing a strategic plan that could include reorganization of staff. To this end, a dialogue between management and staff members has produced a list of areas worthy of consideration for future projects. The challenge is to select from this list the areas within the capabilities and charter of the MTD that are most relevant to the needs of the customers and are consistent with the available resources. Discussions with the leadership suggest a vision for making these decisions, although details of new program emphasis and direction are still in flux. In addition, MTD leadership has looked into a strategic plan for the division, which includes a possible restructuring of the groups along different programmatic lines. The need for additional group leadership is recognized. The panel supports the concept that projects be organized more flexibly, not just with each permanent staff member being a project leader. Giving people the chance to work on several projects as team members and leaders will facilitate the growth and broadening of the staff's skills, will help lessen the feeling that one's future is tied to just one project, and will allow for flexibility in staffing new projects and winding down existing projects. The panel supports a restructuring with these objectives. It also appears that the Superconductivity and the Magnetics Groups are growing farther apart. Some attempt to build bridges between them is desirable. The proposed changes mentioned above should help facilitate this interaction. Additionally, the panel has identified several specific areas of potential collaboration between the two groups, including ballistic magnetoresistance (already identi- fied within the division), miniaturized mechanical testing of superconductors using MEMS, the apply- ing of the mechanical expertise in the superconductivity area to look into magnetostrictive materials, and standards for magnetostrictive materials. Overall, the panel finds the division to be a very enthusiastic unit with high morale and some good examples of collaboration. Notwithstanding, there are many opportunities for even greater synergy among areas of expertise, such as those mentioned above. The panel also observes that the technical quality and merit of the division's work are very good. In the following subsections, selected projects that exemplify the high technical quality and merit of the division's work are discussed, and the panel's comments are presented. Standards for Superconductor Characterization The Standards for Superconductor Characterization project has made considerable progress in the past year on issues important to the superconductor industry. The importance of the project's work and its high performance are well recognized in the community. The project team has acted as a needed measurement arbiter in ways that are valuable for industry. In the case of the need for reliable measure- ments of residual resistance ratios exceeding 500 a vital need for large-scale superconducting RF cavities much has been at stake, since only one or two companies worldwide have been able to make sufficiently pure Nb. Last year it was necessary to develop new and more reliable procedures, and the vital arbiter of these tests was NIST. Additionally, the project has helped the small superconducting industry with complex measurements (e.g., AC loss and marginally unstable, high current density

22 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 wires), as well as providing unique, higher-temperature critical current, voltage-current characteristics that are needed for the very high field, high-stress coils that large fusion projects such as the Interna- tional Thermonuclear Experimental Reactor (ITER) require. Finally, the project was able to resolve exaggerated claims of conductor performance that were being made under a Small Business Innovation Research award that others, too, thought were bogus. Excellent leadership and representation have been maintained on International Electrotechnical Commission committees. The project leader is nationally recognized as the right person for this position. Superconductor Electromagnetic Measurements The Superconductor Electromagnetic Measurements project has unique electromechanical capabili- t~es and is performing strain-related work that others in the United States are not equipped to do. The work done in this project has a worldwide reputation and is important to the Department of Energy's Energy Efficiency and Renewable Energy program and its High Energy Physics program. Additionally, the staff is working on a new book on cryogenic techniques that will be extremely valuable to the research community. Nanoprobe Imaging The Nanoprobe Imaging project has continued its work on in situ measurements of ferromagnetic films using MEMS magnetometers. The MEMS magnetometer is currently being tested in an in-house magnetic deposition system. Submonolayer sensitivity has been demonstrated. Results were presented at the Magnetism and Magnetic Materials Conference in November 2002, and there are plans for testing the magnetometer in an industrial setting in FY 2003. This technology is valuable to the entire magnetic industry, including the areas of magnetic storage (disk, tape, MRAM Magnetic random access memory]) sensors, and inductors (communications), and it offers improved capability for process monitoring over traditional methods such as crystal quartz microbalances, resistivity, and others. The development in conjunction with the RF Technology and Optoelectonics Divisions of the NIST high-frequency "drop in" MEMS probe testbed to test high-frequency probes is needed in this metrol- ogy area. The SM3 program has made substantial progress with the construction and testing of a micromachined magnetic trap fluid cell. The ability to sort and store molecules should have wide- ranging applications in chemical and biological industries. This represents an area for dramatic growth, particularly in OA funding, and can leverage the existing MEMS and microfabrication expertise. The role of this area as a strategic growth area for the division should be evaluated during the restructuring of the division. Synergy with the Semiconductor Electronics Division's MEMS activities presents an opportunity to leverage resources. The MEMS Cs vapor cell for the chip-scale atomic clock is a very neat application of MEMS technology for miniaturizing precise time measurements. This clock should be very useful in the near term for homeland and military security and for wider industrial applications in which small, relatively inexpensive time standards are needed. Magnetic Recording Measurements The panel was gratified to see that magnetoresistive arrays for field mapping had been designed and built for nondestructive current measurement and forensic analysis. This application has been used in failure analysis and on-chip metrology in the semiconductor industry, as well as in areas such as relay

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 123 aging and fault detection. These areas promise increased speed and accuracy of on-chip failure analysis, and this application is an important new direction for this division and its relevance to the semiconductor industry. Furthermore, this work is recognized to have relevance to the area of homeland security as a forensic tool. Work on the integral superconducting flux-measurement loop for absolute calibration has been dropped owing to fabrication difficulties. However, a new round-robin evaluation for remanent and saturation moment with 20 participants is under way. The panel, however, recommends that the super- conductivity flux standard be reexamined for feasibility and be pursued if this examination shows it to be warranted. In situ surface magnetometry is of great interest for characterizing the surfaces of thin magnetic layers, which are very common in data storage and other applications. Good work was done this year on spin electronics, and this activity continues to grow within the division. Of particular note are the fabrication and characterization of AglFelAglGaAs structures. This work has led to the building of a spin metal-oxide semiconductor field-effect transistor. The panel eagerly awaits further results of this work. It is an emerging field with great potential. Magnetodynamics The Magnetodynamics project has built a CryoPIMM (pulsed inductive microwave magnetometer) for operation down to 20 K. Measurements have been made of anisotropy and damping at temperatures between 20 K and 325 K on NiFe films. A vectorized Bloch-Bloembergen (BB) equation has been used to extract parameters such as the time constant in the Arrhenius-Neel equation. This continues in the same vein as the last few Years' work. explaining and fitting the results on primarily NiFe films to 1 1 ' phenomenological equations such as Landau-Lifshitz-Gilbert (LLG) and BB. While the work in this area is authoritative and shows leadership, the panel believes that some new approaches are needed, both in theory and experiment. Along the theory lines, the panel recommends collaborating with theorists who can put the theory on a sound quantum mechanical basis and thus get a better physical interpretation of the results. In particular, it would be useful to examine the role of conduction electron scattering of magnons as the intrinsic damping mechanism in metals and to com- pare it to established theory. The CryoPIMM should present an opportunity here. Also of interest would be a comparison with micromagnetic models, with a focus on the correct value of alpha to use in micromagnetic calculations. On the experimental side, the panel has in the past recommended the examination of other materials. Some limited work has been done in this direction, but it should be expanded. Last year the panel asked that the work be extended to smaller-patterned films and to high-coercivity films for media. In response, the group has considered possible modifications to the system that would allow it to reproducibly apply the necessary high fields. The panel urges action on this issue, as medium dynamics is a very difficult measurement problem, with critical implications for the data storage industry. There may be an oppor- tunity for interactions with the Superconductivity Group on the challenge of developing adequate fields. On the smaller-patterned films, the higher sensitivity of the instrument is considered to be an advance. However, to get to the submicron sizes of interest to industries (e.g., recording heads), a thousand-fold increase in instrument sensitivity is likely to be needed. This may necessitate new measurement geom- etry such as ensembles. The panel would like to know how the higher sensitivity will be used to address the problem. The use of PIMM by several universities (the University of Alabama, University of California, San Diego, and Stanford University) and industries (Nonvolatile Electronics, Seagate) offers examples of important technology transfer.

24 AN ASSESSMENT OF THE NIST MEASUREMENT AND STANDARDS LABORATORIES: FY 2003 The new instrumentation and measurements of spin polarization in semiconductors by use of optical pumping and the Kerr effect should prove a useful tool in the development of spin electronics. The work on spin momentum transfer is also very useful to industries such as magnetic recording and MRAM. The measurements of spin transfer efficiency in several useful metals and alloys are cited as very useful to researchers and technologies. Magnetic Thin Films and Devices The work being done by the Magnetic Thin Films and Devices project concerning noise character- ization in spin valves is very relevant to the sensor and magnetic recording industry. Although today's preamplifiers filter out the hich-frecuencY components of noise. much insight into the physics of these sensors can be gained from an examination of the high-frequency spectra. In addition, as data rates increase, the high-frequency noise will be more important. The panel commends the work already done and notes that real commercial recording heads were used, as suggested in last year's report. The panel also supports the continuation of this work on current-perpendicular-to-the-plane multilayers where new noise mechanisms can be studied. The new work on nanomagnets has potential use in nanoscale memory and devices. Measurement of magnetic and transport properties will help assess the potential of these materials. An aggressive effort to increase the blocking temperatures of these materials is recom- mended. ~ ~ ___( ___ _ _ _ _ _ _ _ _ _ _ — —— — ——— — ———O—— —— — —1 —— — _ _ _ ~ _ _ ___( _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ ~ Program Relevance and Effectiveness The panel finds that the programs of the Magnetic Technology Division are relevant to the needs of industry and various government agencies. Responses to comments in last year' s report have positively addressed many of the issues raised by the reviewing panel. Items that will need more work and clarification are reorganization, staffing, facilities, and earlier recommendations regarding examination of high-coercivity materials and collaborations with theorists. The superconductor work is well aimed at the U.S. superconductor industry and its big-project customers in the DOE laboratories. This success is exemplified by the strong external funding that the mechanical property work attracts and the wide approval given to the standards work that is being led in the United States from this division. One of the main goals of the division is the dissemination of standards to industry. This goal is particularly important in the data storage industry, but also with regard to other areas such as MRAM, sensor technology, and so on. The panel was disappointed that the superconducting flux standard did not prove to be technically feasible. It was, however, happy with the magnetic moment round-robin led by the division. Standards are also needed for magnetostriction, magnetic imaging, and so on. Standards based on quantum mechanics would be a good long-range focus for the group. Such standards would enable a substantial increase in the accuracy of the fundamental magnetic standards. This staff has members who participated on committees of ASTM, IEEE, and the National Electronics Manufacturing Initiative (NEMI) (magnetics) and several working groups of IEC TC-90 (superconductivity). The MTD has partnered or collaborated with, or had an impact on, several universities, government laboratories and agencies, and organizations within the industrial sector. In 2002, the MTD Web site was established, and new links were added. About 100 visits to this site are observed each month. The division has published numerous, quality technical articles in refereed journals. Many good publications with sizable impact on the technical community were produced in the MTD this year, including two book chapters and several invited papers. Division members regularly chair sessions of conferences such as the Magnetism and Magnetic Materials Conference and the Applied Superconductivity Confer-

ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY: DIVISION REVIEWS 125 ence and serve on conference committees or act as session chairs of meetings of technical societies such as the IEEE, the American Vacuum Society, and the American Physical Society. In the area of advanced measurement methods, the division has done excellent work that is highly relevant to industry, government, and the general scientific and engineering communities. Areas rel- evant to industry include MEMS magnetometers, PIMM collaboration and measurements, probe mi- croscopy, magnetic field mapping, standards, magnetic device dynamics and noise measurements, molecular nanomagnets, measurement of spin polarization, residual resistivity ratio measurements, and strain effects in superconductors. Many of these measurements and those under development can be done in situ, with the added advantage of capturing what is happening in a process and responding quickly to any changes. This capability will be very valuable in factories of the future. All of this work features close collaboration between industrial and government partners. Areas of interest to the govern- ment include high-speed nanoscale recording systems for forensic analysis of tapes, magnetic field mapping, "spintronics" as a promising new technology, Cs vapor cell for the chip-scale atomic clock, and molecular manipulation as part of the SM3 initiative. The work under way on future research topics is very impressive. The work on spin-dependent transport in MOSFETs, quantum surface phenomena, spin momentum transfer, atomic-scale transport, and spins in semiconductors represents appropriate extensions of the core skills of the division. Division Resources This year the panel recommends further effort to consolidate the MTD's laboratories. They are now spread out over five buildings, and some of the space is borrowed from other groups and may have to be vacated. The division would benefit greatly in collaboration and interaction from being colocated. The incipient renovation of one laboratory is applauded by the panel. The panel urges continued effort in this area with respect to the other laboratories. An effort is being made to accelerate the repayment of the "loans" for the existing equipment. The panel suggests significant upgrades of the division's equipment. In particular, a new deposition system (MBE), an ion mill, and an upgrade of the e-beam facility in the clean room are encouraged. Provisions for steady infrastructure improvements are essential in the equipment budget. The panel recommends a discussion of alternatives to acquiring the needed capabili- ties, such as buy, fee for service, share, and so on. There are significant challenges to getting large pieces of equipment. Any strategic plan should include needed major equipment acquisitions projecting out for a few years. The division cannot remain at the cutting edge without constant and systematic upgrading of the experimental facilities. The superconducting effort, though very strong, is also very narrowly focused and is being carried out by two staff members who are both in the later stage of their careers. Transitioning to some younger staff is needed. Restructuring of the division may offer an opportunity to blend and cross-fertilize the Superconductivity and the Magnetics Groups more effectively. The panel believes that it is important for the MTD to undertake work in spin imaging and spin imaging standards as well as standards based on quantum mechanics. The MEMS area is an opportunity for significant growth, not for the sake of doing MEMS, but as a tool for miniaturization and for increases in the sensitivity of many of the measurements currently being done. More resources should be applied in these areas as well as to the strategic thrusts of NIST in health care, nanotechnology, and homeland security. More funding support is required for these initiatives.

Next: 10 Manufacturing Engineering Laboratory: Division Reviews »
An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2003 Get This Book
×
MyNAP members save 10% online.
Login or Register to save!
Download Free PDF
  1. ×

    Welcome to OpenBook!

    You're looking at OpenBook, NAP.edu's online reading room since 1999. Based on feedback from you, our users, we've made some improvements that make it easier than ever to read thousands of publications on our website.

    Do you want to take a quick tour of the OpenBook's features?

    No Thanks Take a Tour »
  2. ×

    Show this book's table of contents, where you can jump to any chapter by name.

    « Back Next »
  3. ×

    ...or use these buttons to go back to the previous chapter or skip to the next one.

    « Back Next »
  4. ×

    Jump up to the previous page or down to the next one. Also, you can type in a page number and press Enter to go directly to that page in the book.

    « Back Next »
  5. ×

    To search the entire text of this book, type in your search term here and press Enter.

    « Back Next »
  6. ×

    Share a link to this book page on your preferred social network or via email.

    « Back Next »
  7. ×

    View our suggested citation for this chapter.

    « Back Next »
  8. ×

    Ready to take your reading offline? Click here to buy this book in print or download it as a free PDF, if available.

    « Back Next »
Stay Connected!