National Academies Press: OpenBook

Plasma Processing of Materials: Scientific Opportunities and Technological Challenges (1991)

Chapter: 4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING

« Previous: 3 PLASMA PROCESSING IN THE ELECTRONICS INDUSTRY
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

4
Scientific Foundation of Plasma Processing

To meet the specifications for future generations of electronic devices, we need new and/or improved plasma processes, plasma reactors, and process control. A prerequisite to designing successful, economically viable manufacturing processes is a comprehensive understanding of the fundamental physical and chemical interactions that take place in plasma reactors. In the next 10 years, an integrated approach that combines empiricism with in situ diagnostics and relies more heavily on fundamental understanding will be most effective in developing new plasma processes (Figure 4.1). For new plasma reactors, a concerted effort can bring the advantages of computer-aided design.

To date, technological progress has been accomplished mainly as a result of uncompromising empiricism. However, in limiting the vast parameter space that must be empirically explored, the technologist routinely uses basic science data and insights into fundamental processes that were gained from previous investigations. The input of basic science to plasma processing has been to discover and investigate phenomena, develop measurement techniques, demonstrate possible reaction mechanisms, provide conceptual frameworks, and show correlations between experiments in plasmas and experiments in a more controlled environment. Below is a sampling (by no means exhaustive) of important contributions to plasma processing from basic science.

  • Demonstration that ion-enhanced chemical reactions are an important anisotropic etching mechanism;

  • Elucidation and demonstration of selectivity mechanisms in etching reactions;

  • Understanding of fundamental causes of material loading effects in etching processes;

  • A conceptual framework for understanding the influence of additive gases (such as oxygen and hydrogen) on rate, uniformity, anisotropy, and selectivity during etching;

  • Measurement of appropriate rate constants and cross sections that are used to model technologically important discharges;

  • Development and use of diagnostic techniques such as mass spectrometry, optical actinometry, laser-induced fluorescence (LIF), and Raman spectroscopy to measure species concentrations in the plasma;

  • Use of light scattering to show that plasmas produce particles that reduce device yield.

  • Use of optical techniques to measure electric fields responsible for charged-particle transport to and from device surfaces;

  • Use of diagnostic techniques to investigate the influence of excitation frequency on discharge characteristics and thin-film properties such as stress;

  • Development of numerical techniques and analytical theories for modeling of technologically important discharges;

  • Measurement of sticking coefficients for surface reactive species; and

  • Investigation of etching and deposition mechanisms using beams of reactive atoms, molecules, ions, electrons, and photons impinging on well-defined surfaces under a controlled environment.

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Figure 4.1

Schematic outline of a process simulator used in conjunction with statistical optimization and diagnostics to  develop plasma etching and deposition processes. Given a reactor design and initial operating parameters— which could come from computer-aided design and expert system tools—the simulator makes use of basic  cross-section and rate coefficient data to predict wafer attributes. Diagnostics of the plasma and surface are  used to limit the process model and provide feedback as to its accuracy. Fine-tuning of the process is  accomplished using statistical design methods.

These contributions have come from relatively small groups of researchers working in industrial, national, and university laboratories in the United States. However, the efforts have been almost totally uncoordinated. For example, diagnostic measurements are made on one material system and reactor design while simulations are performed on a different material system and reactor design. Furthermore, production reactors represent yet another technology. As a result, basic science studies have contributed greatly to our collective intuition but little to our ability to quantitatively simulate processes or design reactors.

The synergism of scientific intuition and the empirical method has been successful for the fabrication of the relatively simple and modest-density microelectronic devices of the 1980s. However, the empirical relationships that are used today to relate wafer attributes (film thickness, anisotropy, uniformity, damage, residues, and so on) to process variables (e.g., power, gas composition, flow rates, pressure) are equipment and process specific and cannot be applied to the new equipment and processes needed for future generations of devices. The recalibration and reoptimization of manufacturing process steps by empirical means alone is inefficient and costly.

Unfortunately, the complexity of plasma processes and the lack of fundamental understanding make detailed, quantitative process simulation based on first principles seem unlikely in the near future. However, scaling laws based on fundamental plasma science could readily be used in transferring processes from reactor to reactor or from one processing regime

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

to another. Fundamental knowledge could also be incorporated into expert system algorithms that systematically guide engineers in making wise decisions when developing new processes and thereby limit the domain for statistically aided empirical optimization.

For plasma reactor design, computer-aided design (CAD) tools can be developed from understanding based mostly on first principles. Such tools will require calibration against an experimental standard to enable quantitative prediction of charged-particle and neutral fluxes to surfaces. However, they would be invaluable in comparing the performances of competing reactor designs without having to "cut" metal.

What prevents the creation of such scaling algorithms, expert systems, and design tools? There are clearly gaps in our fundamental understanding of plasma transport, surface processes, and plasma-surface interactions (Figure 4.1). For example, increased understanding of plasma-surface reactions requires knowledge of (1) the flux, energy, and type of species incident on the surface; (2) the flux, energy, and type of species leaving the surface; (3) the concentrations of species on the surface; and (4) the surface electronic and geometric structure. No complete set of such information is available for any plasma-material system. The only reliable information on etch products is inferred from experiments conducted outside the plasma, and virtually nothing is known about complex recombination reactions at surfaces. Information about surface conditions is largely inferred from measurements made on wafers after removal from the plasma and from beam-surface experiments used to simulate the plasma. Similarly, we are not able to control plasma processes because there are no guarantees that machines operate at the intended internal conditions: diagnostic techniques are needed to characterize both the plasma and wafer states in situ and in real time.

SURFACE PROCESSES

Surfaces exposed to plasmas experience bombardment by energetic ions, electrons, neutrals, and photons. The detail in which we understand the effects of such bombardment varies widely depending on the particular process. The goal of fundamental surface studies, both theoretical and experimental, should be to provide insight and data for process simulation and/or reactor design. Examples of the data needed are cross sections and rate constants for energy transfer, reaction, emission, surface diffusion, implantation, reflection, disordering, and recombination. All these processes affect material properties, and all are affected by exposure to the nonequilibrium, low-energy plasma.

Theory and Simulation

For physical sputtering and energy transfer, the most important properties of the projectile (ion or fast neutral) and target are their masses and their interaction potentials. This relative simplicity combined with a wealth of experimental data on sputtering has facilitated the development of sophisticated theories and simulation tools. For example, both rates and angular distributions of sputtered particles are empirically well established for monoenergetic rare-gas and metal ions incident on monatomic solids at energies above about 100 eV. For energies above 1 keV, measured neutral emitted fluxes are in reasonable agreement with results from numerical simulation codes. Indeed, the reliability of these codes has prompted their widespread use. More codes of this type are needed for plasma process simulation. However, few data are available for lower energies, molecular projectiles, or molecular targets. The reliability of these simulation codes is unknown for the conditions that are most pertinent to plasma processing.

First-principles simulations of plasma-surface chemistry have been relatively modest to date. Virtually no potential energy surfaces have been computed for relevant reactant-substrate interactions. A notable exception is the recent quantum-chemical calculation of the interaction

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

potential for the fluorine-negative-ion and silicon interaction that helps explain extensive fluorine diffusion into the silicon lattice and the origin of doping effects on etching rates. However, the all-important effects of ion bombardment on surface chemistry have not been numerically simulated from first principles.

Simulations that account for the effects of microstructure are also sorely missing. In the etching of submicron features, for example, scattering of ions from the mask or substrate sidewalls and surface diffusion of species from sidewalls (Figure 4.2) might significantly alter the flux of ions and neutrals within the feature and thereby alter the etching rate and anisotropy. An understanding of the transfer of energy between surface and ion and the modification of the sidewall surface is needed to model the feature profile and to predict the dependence of etch and deposition rates on microstructure. Even less is known about the surface chemistry and physics at atmospheric pressure and high temperature (greater than 800°C), which are typical conditions for rapid deposition of diamond and superconducting films.

Recent progress in molecular dynamics simulations of gas-surface energy transfer processes, recrystallization, and surface reconstruction has been impressive. Extension of these techniques to simulation of low-energy ion implantation, ion mixing, impurity diffusion, nucleation, surface diffusion, and surface conduction, for example, would revolutionize our understanding of the plasma-surface interaction.

The understanding of electron emission from surfaces as the result of high-ionization-potential, low-velocity ions and metastable atoms approaching a surface has progressed very little since early work on rare gases in the 1950s. This work is applicable to ions with an ionization potential greater than twice the work function, so that many ions have too small an ionization potential for this model to be useful. Some recent studies for low-ionization-potential ions claim to have found a good correlation between the electronic stopping power of the ion and electron emission yields and a linear relation between yields and ion energy, for ion energies above a few kilovolts. The crucial question of the probability of electron escape and how it is affected by effects such as adsorbed gases has not been addressed for the low-ionization-potential projectiles. Ion-induced electron emission is very much an empirical science for clean surfaces and an art for most practical surfaces. First-principles theoretical calculations are available only for low-energy, high-ionization-potential rare gases on clean surfaces.

With the advent of massively parallel computing facilities in the next 5 to 10 years, simulations of surface processes like those discussed above should become increasingly easy to

Figure 4.2 Model of the sidewall film formation mechanism for the plasma etching of  silicon using various precursor gases. (Reprinted, by permission, from G. S.  Oehrlein, J. F. Rebetski, and E. H. Payne, 1990, "Study of Sidewall Passivation and Microscopic Silicon Roughness Phenomena in Chlorine-Based Reactive Ion Etching of Silicon Trenches," J. Vac. Sci. Technol. B8, 1199. Copyright © 1990 by the American Institute of Physics.)

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Figure 4.3 Schematic illustration of apparatus used for beam-surface  experimental simulations of plasma etching and deposition.  Such experiments are essential for developing mechanistic  insight into plasma-surface interactions. (Reprinted, by permission,  from H. F. Winters and I. C. Plumb, 1991, "Etching Reactions for  Silicon with F atoms: Product Distributions and Ion Enhancement  Mechanisms," J. Vac. Sci. Technol. B9, 197. Copyright  © 1991 by the American Institute of Physics.)

perform and increasingly more accurate. First-principles calculations of complicated chemical structures involving as many as 100 atoms per layer should be possible. Despite improvements in computational power, however, the panel expects that dynamic simulations will still be constrained mostly to simple systems and short interaction times. Experimental studies are crucial to ensure that the input to the computer programs is correct and to expand our understanding beyond what numerical approaches can offer.

Experimental Studies

Models of surface processes in plasmas are limited in part by lack of mechanistic insight and lack of kinetic data. The situation is similar to that in the field of surface science 25 years ago. At that time, neither the concentration of adsorbed species nor the surface structure could be determined, and there was little understanding of surface physics and chemistry. Since that time, many analytical tools have been invented or applied to the study of surface processes under well-controlled conditions. The ability to make these measurements has led to a much improved understanding of surface properties and surface chemistry. If appropriate tools and techniques are developed and brought to bear in a concerted fashion, similar strides in improving our understanding of etching, deposition, and cleaning processes should result.

BEAM-SURFACE EXPERIMENTS

Much of our fundamental understanding of surface processes occurring during etching and deposition comes from well-controlled plasma simulation experiments in which beams of ions, electrons, neutrals, and photons are directed either together or alternately at well-characterized surfaces (Figure 4.3). The beams are typically analyzed using mass spectrometry. The surface chemistry is usually diagnosed outside of the reactive environment by using conventional

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Figure 4.4 Etching rate of silicon as a function of time during sequential  exposure to first a xenon difluoride molecular beam, then both a  xenon difluoride and an argon ion beam, and finally just to an argon  ion beam. The ion-neutral synergy is clearly evident—when both  beams are on, the etch rate is much greater than the sum of the etch  rates with either beam on alone. (Reprinted, by permission, from J. W.  Coburn and H. F. Winters, 1979, "Ion and Electron Assisted Gas-Surface  Chemistry—An Important Effect in Plasma Etching,"J. Appl. Phys. 50, 3189.)

techniques such as x-ray photoelectron spectroscopy, Auger spectroscopy, ion scattering spectroscopy, and secondary ion mass spectrometry. Recently, analysis has also been performed in situ but under exceptionally low-pressure conditions. Reaction products desorbing from the surface as well as reflected reagents are also detected by mass spectrometry. Recent work at universities in the Netherlands has exploited the technique of laser-induced fluorescence to probe the internal energy states of reaction products.

Such experiments clearly demonstrated one of the most remarkable aspects of plasma etching: the synergy between ion bombardment and neutral chemistry that is prevalent in plasma etching and largely responsible for rapid, anisotropic etching. Figure 4.4 shows how the etching rate with both ion and reactive flux to the surface exceeds the sum of the individual etch rates for ion sputtering and neutral reaction. Without experiments of this type, we cannot hope to understand surface reactions that dominate the outcome of industrial plasma processes.

For fluorine etching of silicon, the synergistic role of ion bombardment is now well documented; but not all systems exhibit synergistic effects, and in some cases ion bombardment can even inhibit etching. Today, we still do not understand the microscopic mechanisms responsible for the ion-neutral synergy and cannot predict under which circumstances rate enhancement or rate inhibition will occur. The role, synergistic or otherwise, of energetic neutrals—formed by charge-transfer reactions with ions and by chemical reaction—in surface processes is also virtually unknown. Much work must be done to quantify synergistic effects so that predictive power is obtained and generalizations can be made. The most promising approach continues to be use of well-controlled, mass- and energy-selected ion and neutral beams directed at well-characterized surfaces.

The effects of surface topography and surface temperature are two other areas in which beam-surface experiments are needed to provide mechanistic insight and fundamental rate parameters. To date, studies have used only unpatterned thin films in etching studies; but the presence of microstructure and different materials, such as photoresist, on the surface is important in determining the outcomes of etching and deposition reactions. The recent pioneering work at Hitachi Central Research Laboratory on cryogenic plasma processing demonstrates the need for extending beam-surface interaction studies to the lower-temperature regime.

Beam-surface studies have been focused largely on etching reactions, but there is an even greater need for experimental simulation of plasma deposition processes. Consider the deposition of amorphous, hydrogenated silicon films. Although such films have been studied for many years, debate is ongoing concerning the dominant precursors to film growth. The pursuit of mechanistic insight has been hampered by the wide variety of plasma growth conditions and the correspondingly wide variety of film properties. Variations in atomic and molecular sticking probabilities during a film's growth inhibit quantitative analysis. The situation

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

is even more muddled for diamond and diamond-like film deposition from methane and other hydrocarbon plasmas. With appropriate free radical and ion beams, sticking probabilities could be measured as a function of surface temperature, hydrogen surface coverage, and ion bombardment energy. Such studies would not only resolve controversies about deposition precursors but also help to determine optimal processing conditions for specific film properties such as crystallinity, photoconductivity, and thermal conductivity.

Beam-surface experiments are also well suited for determining the energy deposited by particles striking surfaces. This is a key parameter needed to understand and predict sputtering yields, etching rates, and film properties. How the energy is distributed and how much is deposited can influence the rates of reaction, the morphology of the surface, and the incorporation of impurities. Recent measurements of the energy transfer coefficients for rare-gas ions on metallic surfaces have provided better estimates of energy deposition for energies of interest between 1 eV and 4 keV. However, similar information is needed for composite surfaces and for a variety of ions, especially light ions such as hydrogen. Furthermore, the conventional assumption that molecules can be treated as an unbound group of atoms needs to be carefully examined, especially at incident energies comparable to the molecular binding energy.

Despite the insights gained in beam-surface studies, the approach has had significant limitations. First, fluxes of both charged and neutral particles are typically low relative to those found in plasmas. However, the ratio of these fluxes, frequently the important parameter, can easily be made equivalent. Although the advent of low-pressure, high-density plasmas is helping to narrow this ''flux gap,'' we still need scaling relationships to connect beam-surface studies to plasma processes. Secondly, ion energies have generally been too large for comparison to the newest generations of plasma reactors. Because it is difficult to generate large fluxes of ions at energies below about 50 eV, the effects of ion bombardment at low energy are largely unexplored. Thirdly, and most importantly, appropriate sources for one-component, impurityfree radical beams have been lacking: a long-term commitment to radical source development would have a high payoff.

There are perhaps 20 to 30 groups in the world who have attempted to simulate plasma-surface reactions by using beam methods. Only a subset of these groups remain active today. The United States has pioneered this approach, but significant results have come from the Netherlands-owned Philips Corporation, universities in the Netherlands, laboratories in Germany, and chip manufacturers and universities in Japan.

These experiments are complicated and moderately expensive (requiring about $500,000 to $1 million in capital equipment), and much of the best work has been performed in a small subset of industrial laboratories. Nonetheless, this work can be carried out on a small scale with two or three principal investigators in university, national, or industrial laboratories.

EX SITU ANALYSIS

In recent years, plasma-treated surfaces have been transferred under high-vacuum conditions to surface analytical chambers. Although the fluxes, energies, and composition of particles impinging on the surface in the plasma may not have been controlled, much insight has been gained through such experimental work. For example, the large uptake of fluorine by silicon during plasma etching was shown to involve the formation of a relatively thick "selvedge" layer consisting of silicon fluorides of varying stoichiometry. This selvedge layer is an important factor in determining defect densities, defect diffusion, surface roughness, and etch rates with and without ion bombardment. Similar studies have been useful for understanding the effects of hydrogen plasmas on silicon substrates.

Recent angularly resolved experiments (Figure 4.5) have shown differences in the chemical composition of the sidewalls relative to the bottom surfaces of etched trenches. Such

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Figure 4.5 Schematic illustration of x-ray photoelectron spectrometer used to  analyze sidewalls and bottom surfaces of plasma etched silicon trenches.  (Reprinted, by permission, from G. S. Oehrlein, J. F. Rebetski, and E. H.  Payne, 1990, "Study of Sidewall Passivation and Microscopic Silicon  Roughness Phenomena in Chlorine-Based Reactive Ion Etching of Silicon  Trenches," J. Vac. Sci. Technol. B8, 1199. Copyright © 1990 by the  American institute of Physics.)

experiments are important in understanding the effects of redeposition and microstructure on etching processes (see Figure 4.2).

Because plasma reactors and surface analytical equipment are expensive, this research approach has been limited to a few industrial and national laboratories. The industrial laboratories involved are microelectronics manufacturers, not plasma reactor vendor companies, who develop both equipment and first-generation processes. In the United States, vendors generally lack resources for this kind of research, and chip manufacturers are only weakly coupled to the vendors. Japanese chip manufacturers, on the other hand, have exploited ex situ analysis in developing plasma etching and deposition processes in close collaboration with plasma reactor vendors.

Future plasma-surface work must make even greater use of in-vacuum transfer to analytical chambers if detailed understanding of the plasma process is to be obtained. This will be particularly critical to the development of plasma-based surface cleaning procedures. It will also be important to control the wafer temperature during the transfer to the analytical chamber if the mechanisms at play during cryogenic processing are to be properly elucidated. Such work requires collaboration between surface scientists and plasma process engineers and is an opportunity to exploit synergies between national, industrial, and university laboratories.

PLASMA GENERATION AND TRANSPORT

Large fluxes of energetic reactive particles—ions, electrons, neutrals, and photons—over large surface areas make plasmas useful in materials processing. Controlling reactive fluxes and energies is the key to controlling material properties and throughput. For example, the flux, energy, and angular distributions of the ions striking the surfaces are critical factors in determining rate, anisotropy, and damage during plasma etching. The densities and energy distributions of reactive particles that constitute the reactive fluxes in plasmas are, in turn, affected by numerous plasma parameters such as gas composition, excitation frequency, reactor geometry, reactor materials, electric and magnetic fields, pressure, and flow rate.

The nonlinear coupling between these plasma parameters, along with the propensity of discharges to function in a multitude of modes, has created formidable challenges for theorists and experimentalists alike. Nonetheless, rapid progress has been made in both modeling and experimentally characterizing plasma phenomena in the last decade. As a result, the coming decade should witness the advent of reliable tools for designing reactors (see Figure 4.1). Detailed, quantitative process simulation that includes chemical effects may take longer to develop, but basic studies that address process scaling over a wide range of parameter space,

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

together with an increase in qualitative insight, are likely to enable an expert systems approach to process design and control in the near term.

Fundamental processes that govern reactive fluxes include dissociation, excitation, ionization, and recombination. Each of these can be mediated by electrons, ions, neutrals, or photons. In addition, electron attachment to make negative ions and ion-neutral charge transfer processes play important roles in influencing reactive fluxes to surfaces. While these gas-phase processes are generally well understood, a dearth of data prevents quantitative modeling of discharges. In the following sections, the panel addresses the approaches being used to develop simulation tools, the diagnostics needed to test them, and the basic data needed for quantitative prediction.

Low-Pressure Plasma Modeling

There are three types of models used today for low-pressure plasma processing discharges: analytic, fluid, and kinetic. These models differ in the degree to which a priori assumptions are made for quantities such as the form of the electron energy distribution function and the importance of particular transport processes. Kinetic simulations make the fewest assumptions and analytic models make the most; fluid models are intermediate. Conversely, kinetic simulations are the most computer intensive and analytic models are the least costly. Again, fluid models are intermediate. Each technique has its decided advantages. Analytic models are able to provide insight into the major physical processes at work and are robust and fast enough to provide valuable scaling laws. Fluid and kinetic models are able to represent complex geometries and address the details of individual reactors. Only kinetic models can provide accurate particle energy distributions that are so vital for plasma reactor design and process simulation. For process simulation, understanding the coupling between plasma chemistry and plasma physics is a critical challenge. For reactor design this coupling is not as limiting, and many tools being developed today may be applied in the near term to CAD.

When all three modeling approaches are brought to bear on the same problem, a great deal can be learned about discharge phenomena and about the strengths and weaknesses of each modeling approach. For example, in rf discharges, the mechanism for electron heating is important in determining the average electron energy and the shape of the electron energy distribution function. Both are critical parameters in determining the degree of ionization and dissociation and the magnitudes of the self-consistent electric fields that transport charged species to device wafers. Depending on process variables such as excitation frequency and power, the models tell us that electrons can be heated by stochastic collisions with the oscillating sheath, by ohmic heating in the plasma bulk, or by acceleration in the sheath electric field after secondary emission. The transition from bulk-dominated electron production and loss to electrodedominated electron loss has now been modeled by several groups using each of the three modeling approaches.

ANALYSIS

Given the short time (approximately 10 years) and few resources that have been devoted to plasma process modeling, significant progress has been made. However, only a few predictive analytical models exist for even the simplest, planar reactive ion etchers. These are one-dimensional, self-consistent models that assume a separation of the discharge into a quasineutral plasma "glow" region surrounded by nonneutral sheaths (see Figure 3.11). Equations used in glow and sheath regions are connected to describe the electron and ion particle, momentum, and energy balance. Coupled with Poisson's equation to determine the electric field, the models describe the self-consistent discharge state and the scaling of discharge parameters such as plasma density, electron temperature, ion energy, and ion flux as process variables such as rf

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

frequency and power, gas pressure, and electrode spacing are varied. By and large, few such models deal with chemically interesting discharges. The effects of free radicals, negative ions, and multiple positive ions are rarely modeled.

The analytical modeling of magnetically enhanced discharges, such as ECRs and planar magnetrons, is at an even earlier stage of development. Only zero-dimensional (no spatial variation) self-consistent models have been developed. As a simple example, there is no self-consistent model to determine the radial and axial uniformity of a plasma injected into a magnetic bucket process chamber.

Many examples show how plasma theory has had a direct impact on the design of new controlled-fusion reactor configurations. The theoretical capability has yet to be developed and exploited in plasma processing, primarily because very little basic research has been devoted to processing.

FLUID SIMULATIONS

One approach to dealing with the complexity of processing discharges is by means of fluid simulations, in which each of the charged particle species (electrons, and positive and negative ions) is treated as a separate fluid, characterized by its temporally and spatially varying density, average velocity, and average energy. It is not necessary to arbitrarily divide the discharge into glow and sheath regions, as is done in most analytical models. However, a fundamental assumption is made that the particle distribution functions of the various species are known; e.g., they are usually taken to be drifting Maxwellians. In some cases, one separates a given species (generally electrons) into two or more groups, each with its own density, velocity, and energy.

The fluid approach has been most successfully applied to simulating the behavior of materials processing discharges at pressures above about 100 mTorr, where transport is collision-dominated and particle distribution functions are near-Maxwellian. Although some two-dimensional work has been done, most efforts have led to one-dimensional simulations of RIE discharges, using model gases with negative ions and simple surface interaction coefficients. Complicated chemistry and neutral particle fluid dynamics have not been generally included. These approaches are more powerful than they might seem because they incorporate all conservation laws. Although quantitative comparisons with experiments are lacking, qualitative agreement between theory and experiment has been remarkably good.

There is little doubt that two-dimensional simulations of RIE and magnetically enhanced discharges that incorporate more detailed chemistry and surface science will lead to increased understanding of higher-pressure processing plasmas, such as those used in deposition. But the trend toward lower pressures for etching suggests that the fluid approach may have fundamental limitations in describing the next generation of etching reactors. In general, average velocity and energy will not suffice, and calculation of the distribution functions will be required for accurate simulation of reactors and processes.

Fluid simulations for materials processing lag far behind those for controlled-fusion research, in which three-dimensional simulations on multitasked, vectorized supercomputers are common. The first self-consistent fluid simulations of rf parallel plate discharges were performed only in the mid-1980s at the University of Minnesota. Since then, there has been dramatic progress at a relatively small number of U.S. universities. There are comparably strong efforts in France and, recently, similar work has been begun at Japanese universities.

PARTICLE-IN-CELL AND KINETIC SIMULATIONS

The most fundamental simulation approach is that based on particle-in-cell (PIC) techniques coupled with Monte Carlo collisions, or that based directly on integration of the Boltzmann

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

equations for each of the discharge species. In the PIC technique, the plasma is represented as a set of superparticles. The Lorentz force on each superparticle determines its self-consistent motion, and the charges and currents generated by the moving, charged superparticles determine the self-consistent fields through Maxwell's equations. Monte Carlo techniques are used to determine the short-range collisional processes (ionization, scattering, and so on) that occur during the simulation. A different technique that has similar capabilities is direct integration of the Boltzmann equations with the proper collisional terms for each species. Both approaches yield time- and space-resolved information on the charged particle velocity distribution functions in a discharge from which fluxes and generation rates can be calculated.

The convective scheme (CS) is a method for kinetic simulations that, like the PIC technique, advances particles during each time step. However, the CS method redistributes the particles after each time step on a phase space mesh. This eliminates the need for Monte Carlo collisions and eliminates statistical noise.

Although they are quite complete, these simulations are time intensive. Present models use simplified sets of cross sections (e.g., ionization, scattering, and charge transfer in argon) and estimated surface emission coefficients to treat up to three charged species (electrons, and positive and negative ions) in one-dimensional geometries. These models have led to greatly increased understanding of energy deposition and other physical processes in RIE discharges and serve to certify some assumptions made in both fluid simulation and analytical modeling. There have been few quantitative comparisons of PIC, CS, or Boltzmann simulation results with experiments, although there is evidence that some phenomena seen in particle simulations have been identified in experiments.

Particle simulation of processing discharges lags far behind that done for controlled-fusion reactors. The application of kinetic simulations to processing discharges started almost simultaneously in the United States and in Australia. Only a small number of groups are currently using this method, but that is likely to change in the near future. Monte Carlo simulations of plasma transport are widespread in the United States, Europe, and Japan, but there have been few attempts to include self-consistently calculated fields.

Thermal Plasma Modeling

Numerical models of thermal plasmas have been developed for free-burning arcs, wallstabilized arcs, and convection-stabilized arcs. More recently, arcs with turbulent boundary layers in high-velocity nozzle flows have been extensively characterized through models and diagnostics. Similarly, considerable advances have been made in the predictive description of induction plasmas. Nonuniformities caused by asymmetric cooling or by cold gas injection have been investigated, as have deviations from local thermodynamic equilibrium (LTE) due to strong temperature and density gradients. Both composition nonequilibrium due to chemical de-mixing and electron-heavy particle energy nonequilibrium have been described quantitatively. Considerable work has been done describing radiative transport, including partial self-absorption for simple geometries.

Modeling of turbulent plasma jets is a precondition to a detailed and predictive understanding of the plasma spray process. In other materials processing applications, such as metallurgical refining or reclamation or waste processing, turbulent plasma jets are also used. Most modeling of such jets has been with various forms of a two-dimensional model that describes the turbulence in terms of kinetic energy dissipation and velocity variation, i.e., a model. Advances have been made by using a low-Reynolds-number approach. Time-averaged velocity and temperature distributions agree well with time-averaged measurements. However, average quantities are insufficient to fully describe the plasma spray conditions. Large-scale turbulent fluctuations (Figure 4.6), cold gas entrainment, and three-dimensional effects must be considered. New approaches are needed for the description of large-scale turbulence. Even with

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Figure 4.6 Schematic illustration of turbulent plasma jet and resultant complexities that  challenge scientific understanding and limit technological applications. (Reprinted,  by permission, from E. Pfender, W. L. T. Chen, and R. Spores, "A New Look at the  Thermal and Gas Dynamic Characteristics of a Plasma Jet," Proceedings of the Third  National Thermal Spray Conference, Long Beach, Calif., May 1990.

the most advanced supercomputers, it will be impossible for many years to come to calculate the turbulent flows on a purely deterministic base. Advances that are being made in the modeling of isothermal jets should be investigated to see if they could be applied to the plasma jets with strong temperature, velocity, and property gradients.

Thermal plasmas used for materials processing are usually inhomogeneous with regard to substance and phase, i.e., particulates or droplets in a vapor environment, and gas mixtures whose compositions vary strongly with position. Energy exchange and momentum exchange in multiphase mixtures have been described; however, the results are strongly dependent on the simplifying assumptions made. Values for thermodynamic and transport properties remain a point of contention, particularly when strong gradients and gas mixtures are encountered. Occasionally, the choice of suitable values provides the degree of freedom necessary to match modeling results with experimental data.

Most thermal plasma models and diagnostic studies have used simplified plasma geometries and restricted parameter sets. Since plasma parameters are strongly interdependent, neglect of one parameter may severely limit the validity of the results. For example, it is easily seen that a slight constriction of a 100-A arc generates negligible magnetic pinch forces; however, these forces may result in the influx of cold gas and stronger cooling of the arc, thus forcing a stronger

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

constriction and possibly an instability. Neglect of the pinch effect will, therefore, not give the correct prediction. The consequence of these simplifications is that our predictive capability exists only within a limited parameter range that may not be pertinent to applications. In general, we are unable to scale plasma systems to higher powers.

Toward CAD Tools And Expert Systems

Kinetic descriptions for electrons, ions, and neutrals in materials processing plasmas are required for modeling both gas-phase and surface chemistry and understanding the effects of complex reactor geometry. Hybrid models that combine analytical, fluid, and kinetic approaches are likely to be effective for use in CAD tools and expert systems. In this way, both the accuracy provided by the kinetically derived distribution functions and the speed provided by fluid and analytical methods can be exploited. For example, kinetic simulations could be performed first for a simplified geometry to test assumptions in fluid and analytical models. These models could then be used in an expert system to provide guidance for scaling processes. A more sophisticated approach appropriate for computer-aided design of reactors has already been explored. A fluid simulation is first used to calculate approximate densities, energies, and fields. The fields are then fed into a PIC code to compute distribution functions whose moments are then used iteratively to refine the fluid equations. Process variables can be changed and a new set of distribution functions, fields, and fluxes computed rapidly. With additional resources, this approach could be used to evaluate different geometric and electromagnetic reactor designs. Even without the data on gas-phase and surface processes necessary for quantitative calculations, meaningful comparisons between designs could be made and the sensitivity of the model (and reactor) to the unknown data determined.

CHEMICAL KINETICS

A major challenge for process expert systems will be to incorporate chemistry into the electron and ion transport models. Currently, gas-phase chemistry is added after the basic discharge parameters have been "determined." A common approach is to assume a specific "known" electron density and temperature. The chemistry is then modeled, either using zero-dimensional rate equations for the various neutral species, with rate constants based on the "known" plasma conditions, or using one-dimensional or multidimensional diffusive, free-flow, or Monte Carlo transport models for the generation and loss of neutral species. Closing this open loop to solve simultaneously for both charged and neutral particle concentrations and energy distributions is a prerequisite for successful process simulation. With sufficient computational resources and a reliable data base, incorporating chemical kinetics into the simulations will not be difficult. The challenge will be to select the critical reactions and ignore the rest.

MULTIDIMENSIONAL MODELING AND MAGNETIC EFFECTS

The models need to embrace two- and three-dimensional effects important for determining ion bombardment energies and uniformity across large surfaces. Problem areas include the distribution of current to numerous surfaces, the effects of nonuniform magnetic fields, magnetic field lines intersecting electrodes and insulating surfaces, and the effects of sheaths and double layers. To date, magnetic enhancement has been incorporated only in the simplest manner, and more sophisticated models must be developed.

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
STABILITY OF PROCESSING PLASMAS

Understanding or at least obtaining empirical descriptions of the stability of plasmas is essential to the control of processing plasmas. Low-pressure plasmas also have a tendency to operate in different modes (Figure 4.7) that could help to explain anomalous process results. The stability properties of rf and, especially, dc discharges are poorly documented, although the consensus is that rf discharges are more stable when operating in the bulk electron heating mode. Discharge mode changes and/or oscillations are known to occur in microwave-driven plasmas at higher pressures, in magnetron configurations at high currents, and in situations where sheath voltages and currents are large. Plasma reactor design can play a determining role in mode changes as current paths switch from surface to surface. Theory can provide much needed understanding of such instabilities.

The stability of thermal plasmas has been a long-standing issue. The issue of turbulence in thermal plasma processing has already been discussed above ("Thermal Plasma Modeling") and identified as a major issue. Arc discharges are inherently unstable and often display several magnetohydrodynamic (MHD) instabilities. However, quantitative descriptions of several of these instabilities exist, and designs for stabilizing the discharge are used routinely. Unfortunately, our understanding is significantly less complete for instabilities encountered in thermal plasma jets, or in interface regions between plasmas and surfaces.

ACCURACY AND RELIABILITY OF NUMERICAL SIMULATION METHODS

Comparing the results of simulation with those of well-characterized experiments and with other simulations is crucial for the development of CAD tools and expert systems. Such comparisons clarify nonphysical assumptions and identify key physics and chemistry that must be incorporated. A reference reactor problem should be defined to facilitate comparisons between codes. By applying each code to the same problem, the relative accuracy and efficiency of the simulation method can be evaluated.

Figure 4.7

Current density as a function of power in a radio-frequency  discharge through chlorine illustrating two stable states and  regions of instability. (Reprinted, by permission, from E. S.  Aydil and D. J. Economou, 1991, "Multiple Steady States in a  Radio Frequency Chlorine Glow Discharge," J. Appl. Phys. 69,  109. Copyright © 1991 by the American Institute of Physics.)

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Plasma Diagnostics

To test the validity of plasma models, measurements of particle densities, fluxes, and energy distributions are vital. Along with the electric fields that control charged-particle transport, these parameters determine how the plasma affects material properties. Although what impacts the surface is of ultimate interest, to control this bombardment we must consider the entire discharge as well as its bounding surfaces.

Despite significant advances in diagnostic capability in the last decade, quantitative comparisons between experimental groups and between experimental and theoretical groups have been noticeably absent. This is partly the result of a plethora of reactor configurations and "conditioning" recipes. By using reference reactors (see below), the development of new quantitative diagnostic tools will be expedited and more complete diagnostic data sets will be assembled for rigorous testing of plasma simulations.

These experiments are simpler and less expensive than their surface analytical counterparts and, as a result, many small groups of researchers have obtained a wealth of information. The United States has played a major role in the development and application of diagnostic techniques to plasma processing. The research has been performed at industrial, national, and university laboratories. But comparable efforts have also been established in Europe—in France, Germany, England, and Italy primarily—and more in Japan. While the efforts in the United States have been largely uncoordinated and lack the participation of plasma reactor vendors, both Japan and France have national programs in reactive plasma diagnostics and simulations (discussed below in the section titled "Funding for Plasma Processing Research").

POSITIVE IONS AND NEUTRALS

To interpret and apply beam-surface and sputtering data for monoenergetic ions to plasma processing, we need to know the flux, energy, and angular distributions of ions and neutrals incident on the surface. Mass spectrometric and optical techniques are the most reasonable approaches for measuring these quantities.

The value of mass spectrometry resides mostly in its versatility. All heavy-particle species, in principle, can be extracted from the plasma and mass analyzed. Electrostatic grids or a hemispherical magnet are but two schemes commonly employed for energy analysis. There are, however, several problems. First, these methods are inherently intrusive; i.e., the plasma parameters to be measured are distorted by the measurement process. With proper care, these effects can be minimized, but they must always be considered in the data analysis. Secondly, it is difficult to separate fragments generated in the mass spectrometer ion source from radicals arriving from the plasma. However, this problem can be solved by sampling the plasma using modulated-beam mass spectrometry and time-of-flight analysis: the fragments generated in the ionizer are not modulated as are particles of the same mass arriving from the plasma. Mass spectrometric sampling also suffers from poor angular resolution, although through careful experimental design of the sampling orifice and the electrostatic lenses, ion angular distributions can be estimated.

The heavy-particle velocity distribution function can be obtained directly from the Doppler broadening of either emission or laser-pumped fluorescent lines. Doppler-shifted laser-induced fluorescence (LIF) has been used successfully to measure translational velocity distributions of both ions and neutrals in plasmas. This method is nonintrusive and provides high three-dimensional spatial resolution but is often not useful for high-density plasmas when Stark broadening dominates the line shape. In addition, LIF suffers from a lack of generality—only certain atoms and molecules can be detected with sufficient sensitivity. An alternative method that does not suffer from these problems but lacks sensitivity is Doppler-shifted elastic (Rayleigh) light scattering. This method has been used in diagnosing high-pressure arcs and flames.

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Figure 4.8

Schematic illustration of apparatus used for laser light scattering  diagnostics such as laser-induced fluorescence and particle  monitoring. (Adapted, by permission, from G. Selwyn, J. Singh,  and R. S. Bennett, 1989, "Rastered Laser Light Scattering Studies  During Plasma Processing: Particle Contamination Trapping  Phenomenon,'  J. Vac. Sci. Technol. A9, 2817. Copyright ©  1989 by the American Institute of Physics.)

For heavy particles impacting surfaces, mass spectrometry remains the only viable technique; optical methods are not applicable because of vignetting by the electrode surface. Processes such as charge transfer are important in determining the energy of the ions and the flux and energy of fast neutrals reaching the surface, but there are few data for the large number of gaseous mixtures used in plasma processing. Information on collisional dissociation for many of the molecules of interest is especially lacking. Similarly, essentially no data are available for modeling the angular scattering of the many different ions and fast neutrals reaching the plasma-surface interface.

Most of our knowledge, albeit qualitative, of the plasma state has come from optical emission spectroscopy. Optical emission is useful for determining the presence of certain atoms or molecules and under some circumstances can be normalized to emission from an inert species (actinometry) to estimate relative, ground-state densities and qualitative changes in the electron energy distribution function. Emission can also be used in measuring the internal energy distribution functions of molecules—electronic, vibrational, and rotational. However, with optical emission, numerical transformation of the data must be performed to obtain fully spatially resolved distribution functions and densities; this requires extraordinary signal-to-noise ratios and is not generally practiced in diagnosing processing discharges.

Where feasible, laser light scattering (Figure 4.8) is preferred over optical emission spectroscopy because it offers high, three-dimensional spatial resolution. On the other hand, quantitative interpretation of LIF data is limited by the availability of radiative transition probabilities and collisional quenching rate constants. This situation can be alleviated by operating under optical saturation conditions, although problems associated with the size and shape of the volume probed and concerns over the approach to steady-state populations during short-pulse excitation cause interpretational problems. In general, it is necessary to calibrate the LIF experiment using other techniques, such as chemical titration. Nonetheless, the technique is valuable in determining relative changes in densities and energy distribution functions.

Using either optical or mass spectrometric techniques, effective, in situ rate coefficients can be determined by modulating the plasma power (or some other process variable) and monitoring changes in ion and neutral densities as a function of time. Both gas-phase and surface reaction rate coefficients have been determined by this method, but this approach has not been fully exploited in providing both input and tests for plasma simulations. Time-resolved light scattering could also be useful for characterizing plasma turbulence and instabilities.

Optical and infrared absorption spectroscopy are two other techniques that have been used to measure both densities and internal energy distributions in plasmas. Although more versatile than emission or fluorescence, especially when applied in the infrared, the techniques suffer from

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

line-integrated detection and poor sensitivity. Nonetheless, reliable quantitative information has been obtained, for example, on radical concentrations and dissociation in silane plasmas used for amorphous and polycrystalline deposition of silicon. With additional support, these techniques could be much more widely applied.

Coherent Anti-Stokes Raman Spectroscopy (CARS) has been widely used in diagnosing combustion processes and in a few instances thermal plasma reactors. What the method lacks in sensitivity, it makes up for in generality, and it is most useful for detecting majority species. The CARS signal appears as a laser-like coherent beam for high collection efficiency, excellent fluorescence and luminosity discrimination, and high spatial and temporal resolution. Along with spontaneous and stimulated Raman spectroscopy in lower-pressure discharges, CARS is useful for determining degrees of molecular dissociation and gas density gradients as well as internal energy distributions. When a broadband source is used, the entire CARS spectrum can be generated simultaneously on the time scale of a single laser pulse. This approach should prove invaluable in providing data on turbulence and instabilities in the coming decade.

ELECTRON DENSITY AND ENERGY DISTRIBUTION

Despite problems of intrusion, contamination, rf interference, and interpretation, the most widely used plasma diagnostic is the electrostatic probe. Each of these problems can be overcome at least partially, and probes provide useful estimates for electron and ion densities and the electron energy distribution function. For electron density measurements, calibration is possible using microwave interferometry. Similarly, for ion densities, the techniques discussed above can, under favorable circumstances, be used for calibration. In high-density, thermal plasmas, Thompson scattering can be used to make both electron density and energy distribution measurements; but, for the lower-density discharges most often used in electronics materials processing, the electrostatic probe is the only method available. When properly applied, probes offer valuable insights into discharge phenomena. For example, careful probe measurements have been used to test theories of rf electron heating and to distinguish between different modes of excitation. This is a well-developed diagnostic technique that is easily implemented in small-scale experimental work. The greatest challenge will be dissemination of information on probe construction and measurement techniques and continuing education on their proper use.

FIELDS

To fully understand charged-particle transport and modes of electron heating in plasmas, we need to measure electric fields both in the bulk plasma and in the sheath between the plasma and the surfaces. In high-current arcs, such as those used in metallurgical processing, and in resonantly excited low-pressure plasmas it may also be necessary to measure both time-dependent and dc magnetic fields. For electric fields, there are now a variety of techniques ranging from electron beam deflection and Langmuir probes to LIF and laser optogalvanic spectroscopy. While rapid progress has been made, challenges remain in making field measurements with adequate time resolution and sensitivity for studying rf and microwave discharges, instabilities, and turbulence.

NEGATIVE IONS

Negative iota often occur in processing discharges. Both mass spectrometric and optical methods have been employed in their detection, but neither approach has offered sufficient detail. Mass spectrometric analysis is hampered by the trapping of negative iota in the plasma;

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Figure 4.9 Light scattering apparatus used to monitor particulates above three wafers in a  parallel-plate discharge (top); corresponding light scattering signal recorded  using a video camera (middle); and schematic illustration showing where particles  congregate above and near wafers (bottom). (Reprinted, by permission, from G. S.  Selwyn, J. E. Heidenreich, and K. L. Hailer, 1990, ''Particle Trapping Phenomena in  Radio-Frequency Plasmas,'' Appl. Phys. Lett. 57, 1876. Copyright © 1990 by the  American Institute of Physics.)

it is difficult to extract them without significantly perturbing the plasma. Optical techniques such as photodetachment are difficult to make quantitative without using auxiliary probes that can be intrusive or microwaves that lack spatial resolution. To date, negative-ion energy distributions have escaped characterization completely.

Until recently, conventional wisdom suggested that negative ions were nothing more than a curious anomaly of processing discharges and had little effect on material properties. But it is clear that negative ions have dramatic effects on discharge properties, on interpretation of probe diagnostics, and on material properties. For example, large concentrations of negative ions result in large bulk electric fields that modify both positive-ion and electron energy distribution functions so that they differ from what they would be without negative ions. Perhaps most importantly, negative-ion kinetics may play a major role in the formation, trapping, and transport of contaminating particulates in discharges.

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
PARTICULATES

The prevalence of particulates in low-pressure processing discharges has recently been recognized. Many questions remain concerning formation, loss, and transport mechanisms. Light scattering has been the primary experimental diagnostic tool (Figure 4.9; see also Figure 4.8), but extraction of both size and density distributions has been largely absent. In particular, particles smaller than 0.1 micrometer will become increasingly important as integrated circuit device dimensions shrink, but light scattering does not appear to be sufficiently sensitive for such small particles.

REFERENCE REACTORS

Difficulties in comparing experimental results between laboratories and with theory are aggravated by the wide number of reactor configurations and "conditioning" procedures in use. To address this problem, the reference reactor concept was born and a fledgling effort has begun. The reference reactor is designed to:

  • Provide a common platform on which experimental measurements can be rigorously and quantitatively compared. Meaningful comparisons between different research groups will be possible.

  • Provide a test bed for new and old diagnostic techniques. By having identical reactors around the country, new diagnostic results can be rapidly reproduced. An experimentalist trying to learn a well-established technique, such as electrostatic probes, will have a wealth of diagnostic data with which to compare his or her results.

  • Provide an exhaustive data set of plasma parameters in a relatively simple discharge system against which theoretical predictions can be tested.

The reactor should be a reference system and, as such, inexpensive and simple to construct.

An initial reference reactor effort has been begun by informal collaboration between Sandia National Laboratories, AT&T Bell Laboratories, Wright Aeronautical Laboratory, IBM, the University of New Mexico, the University of Michigan, and the National Institute of Standards and Technology. The parallel-plate, rf reactor was designed at Sandia National Laboratories and is referred to as the GEC Reference Cell; the name is derived from the 1988 and 1989 gaseous electronics conferences at which the reference reactor concept was conceived. After receiving identical cells, each of the laboratories has made nominally identical measurements of current, voltage, and power, and the preliminary design is now under review.

The reference reactor can be an effective means for making rapid progress in instrumentation, simulation, and understanding. The current effort is a good example of how industrial, government, and university laboratories can collaborate effectively. To the best of the panel's knowledge, similar reference experiments on thermal plasmas or high-density, magnetized plasmas are not being planned. However, such programs would appear to have high merit.

DATA BASE FOR PLASMA GENERATION AND TRANSPORT

Although many of the necessary experimental and theoretical tools exist now or will exist in the near future, the basic data needed both to model and to diagnose plasma processes are generally lacking or are at best difficult to access. These data base limitations are impeding progress in plasma process simulation and reactor design.

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Basic data for gas-phase reactions are the essential underpinning for analytical modeling, computer simulation, and experimental measurements of plasma-assisted materials processing. At the most fundamental level in the gas phase, basic data comprise energy- and angular-dependent cross sections for electron-ion, electron-neutral, ion-neutral, and neutral-neutral collisions. Collisions of photons with heavy particles are also of interest. Data such as transport coefficients and reaction rate coefficients (integrated over specified energy and angular distributions) are also important.

PRESENT STATUS

Significant progress has been made in generating data bases for noble gases and diatomic gases such as hydrogen, nitrogen, and oxygen. This progress has been spurred by applications to fusion and atmospheric modeling. Recently, important scientific problems, such as atmospheric ozone depletion, have led to cross section and rate coefficient compilations for the chlorofluorocarbons. For any gas, no matter how simple, it is imperative to work with cross section sets that use all available information. But, for plasma processing of electronic materials, gaping holes in the data base exist. For example, the cross section set for CF4/oxygen discharges, used in silicon and silicon dioxide etching, is incomplete. The situation is even worse for newer etch chemistries, such as those involving bromine-containing gases, and for deposition processes. For example, silane and tetraethoxysilane are used extensively in silicon and silicon dioxide PECVD, respectively, but cross sections for electron impact fragmentation into reactive species such as Sillx (x= 1,2,3) and Si(OH)x (x= 1,2,3,4) have been neither measured nor calculated.

The experimental methods used to generate the existing data base are mostly well established. Crossed beams or beam-gas systems of molecules, atoms, electrons, and ions are useful for making energy-dependent cross-section measurements. Swarm and drift-tube measurements have been used for many years in the determination of rate constants as a function of temperature. Recently, progress has been made in measuring electron-impact ionization and fragmentation cross sections for free radicals and metastable states. Similarly, methods to measure dissociation cross sections and the yields of neutral products have been developed. But progress in generating data bases suffers severely from lack of funding and lack of coordination with modeling and diagnostic efforts.

The coming of age of massively parallel computers can revolutionize the calculation of electronic, ionic, and heavy-atom cross sections for complicated, chemically reactive systems. The development of standard cross-section codes, along with a judicious program of measurements to verify the calculation, would have an enormous impact on the understanding and design of systems for the plasma processing of materials.

NEEDS

Electron-heavy particle impact collisions are central to modeling, simulation, and experiments. Noble-gas discharges are maintained by electron-neutral ionization, and energy balance is determined in part by ohmic heating and collisional electron energy losses such as ionization, excitation, and elastic scattering. For diatomic gases, electron-impact vibrational and rotational excitations also contribute to energy loss. In molecular gases, electron-impact dissociation is the driving force behind much of the chemistry; it is also an electron-energy-loss mechanism that can inject several electron volts into ionic and molecular fragments. Because negative ions tend to be electrostatically trapped in discharges, electron impact detachment processes are important in halogen- and oxygen-containing discharges. Electron-positive ion recombination can be an important charged-particle-loss mechanism at high charge density. For

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

plasma deposition of new materials, we need data on organometallic systems and on metals and their oxides, carbides, and so on.

Heavy-heavy particle-impact collisions (ion-neutral and neutral-neutral) are primarily important at higher gas pressures, such as those used in deposition and thermal plasma spraying, but they also play a critical role in determining the angular distribution of ions at surfaces. For low-pressure plasma etching, for example, ion-neutral collisions in the sheath may be very important in determining the extent of linewidth loss and how etching rates depend on aspect ratio. At pressures as low as 1 mTorr, resonant charge transfer and elastic scattering are both important in general. Because negative ions are trapped, negative ion-neutral detachment processes can be important along with ion-ion recombination in determining negative ion loss and thereby the magnitudes of electric fields and negative particulate trapping.

Optical diagnostics such as laser-induced fluorescence make use of specific atomic or molecular levels, and therefore, cross sections for collisions with excited states and for radiative transitions are central to the measurement and modeling of discharge behavior.

The materials processing community needs to establish a consensus on its critical data needs. Using process simulators, key cross sections and cross-section sets can be more easily identified. Providing that a mechanism exists for cross-section measurement, the needed data can be generated relatively quickly. The effort made in generating data for atmospheric modeling is a good example of what could be done for plasma processing.

DISTRIBUTION OF INFORMATION

A major difficulty confronting modelers and experimentalists is to assemble the basic data set appropriate to their application. Although some measured or calculated basic data exist for chemically complex discharges, it is often difficult to find these data and evaluate their reliability. Further, data sets are mostly incomplete, and therefore simple analytical estimates or semiempirical relations must be applied. The procedures for doing this are not well known.

The scientific literature is the primary source for measured and calculated cross sections, transport coefficients, reaction rate constants, and plasma-surface interaction coefficients. Bibliographic references to this vast literature include files of atomic and molecular processes compiled at the Oak Ridge National Laboratories, the Joint Institute for Laboratory Astrophysics, the Laboratory de Physique des Gaz et des Plasmas (France), the Queen's University of Belfast (United Kingdom), the National Institute of Standards and Technology, the Institute of Plasma Physics, Nagoya University (Japan), the Kurchatov Institute of Atomic Energy, Moscow (USSR), and the International Atomic Energy Agency, Vienna (Austria).

Analytical estimates, empirical formulas, and scaling from known to chemically unknown systems are generally required to complete a data set. This information is available in the scientific literature and is summarized in numerous textbooks and research monographs. However, complete cross-section sets for a given material system are generally not easily found or generated; yet, such sets are essential input to a plasma process simulation or reactor design.

PLASMA-SURFACE INTERACTIONS

The panel has discussed the status of surface and plasma research as it pertains to plasma processing, but the interactions between plasma and surface are the essence of materials applications. A combination of measurement, model, and simulation will be needed to parameterize algorithms with respect to such hard-to-control or ill-defined variables as secondary emission coefficients and sticking probabilities. The goal is not just to calculate plasma generation and transport but to relate them to wafer material properties. The wafer material

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

properties, on the other hand, will affect charging and emission and thereby generation and transport of plasma.

Surface interactions with thermal plasmas are characterized by a boundary layer between the sheath and the plasma in which extreme density and temperature gradients exist. These gradients dominate the mass and energy transport and give rise to strong deviations from LTE.

Boundary Conditions

The properties of surfaces cannot be divorced from plasma simulation or experiment. Surface properties determine boundary conditions that directly determine plasma transport. The emission of charged and neutral particles affects rates of ionization and dissociation and the shapes of energy distribution functions. Boundary conductivity affects the magnitudes of electric fields that transport plasma to the surface. Surface conductivity is as important as bulk conductivity in determining the uniformity of a process both across a wafer and as a function of microstructure. Bombardment of the surface changes surface properties and thereby emission coefficients and conductivity.

While ion emission is usually unimportant, electron emission can be stimulated by electron, ion, photon, and energetic neutral bombardment. Thermal and field emission can also be significant. The relative importance of these processes is difficult to assess because surface properties—such as work function, vapor pressure, electrical conductivity, thermal conductivity, and atom mobility—are poorly known and highly variable under processing conditions. Sheath fields and current density, particularly in high-current plasmas, may also alter emission coefficients.

The description of the thermionic electron emission process from refractory cathodes based on the Richardson-Dushman-Schottky formulation is still generally accepted for arc cathodes even though evidence exists that it may not be valid for these conditions. Electron emission from cold cathodes is described by numerous authors, with the models in general describing some combination of field emission and an additional mechanism leading to microscopic evaporation sites. The models describe possible microscopic mechanisms for macroscopic observations, such as the formation of a microscopic high-pressure region in the cathode sheath emitting both electrons and ions. There have been few quantitative descriptions of arc electrode interactions at high currents (e.g., 2,000 A and above), although it is known that some physical mechanisms change because of the noncontinuous change in cathode erosion rates in this current range. These high-current arcs are needed in metallurgical processing applications such as metal remelting or refining. Formulation of more appropriate cathode electron emission models is needed for thermionic as well as cold surface emission. The conditions in front of the cathode such as charged-particle density and current density, and the properties of the cathode material such as crystal structure, grain size, density, and electronic properties in addition to the work function, should be analyzed. This requires, obviously, inclusion of the solid state in the model.

A large data set exists for cold cathode spots in a low-pressure ambient and various cathode materials. Fewer data exist for cathode spots at higher pressures. Comparison with theory is hampered by the fact that direct measurements of plasmas in the micron-sized, short-lived cathode spot where pressures may briefly exceed 100 atmospheres are extremely difficult. Short-time microscopic spectrally resolved measurements of cathode spot characteristics may provide estimates of the pressure, temperature, and degree of ionization in the vapor cloud above the molten surface. The pressure dependence of the cathode spot characteristics should provide insight into the emission mechanism because of the change in current density in front of the cathode. At high current densities all cathodes become quasi-cold cathodes, and the investigation of the transition to such a state from a thermionically emitting state should provide interesting input.

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Detailed descriptions exist for interaction between an arc and the anode but generally only over a limited range of parameters. The influence of fluid dynamic effects has been shown to be substantial because the boundary layer thickness determines the density gradients in front of the anode. Models exist for the onset of anode spots and for the gross evaporation of anode material, but the validity of these models for higher current arcs is unclear. The conditions for the occurrence of instabilities before the formation of anode spots need to be identified as well as the principal effects causing this mode change. The description of the anode sheath and anode boundary layer nonequilibrium region needs to be expanded to include higher current and current density conditions, which are expected to change the relative importance of the various energy exchange mechanisms. It will be important to treat these effects in two dimensions because the effect of radial energy loss, even if its magnitude is only a fraction of the axial energy flow, can be profound.

The capability of choosing the most appropriate material and thermal design for an arc electrode will have a strong impact on any application of thermal plasmas. Reduced electrode erosion translates into longer electrode life and reduced maintenance and contamination with the products of electrode erosion. The strongest impact will be in the metallurgical industry, where the size and efficiency of plasma melting installations are currently limited by the inadequate durability of electrodes.

Passive Surfaces

The influence of passive, i.e., not biased or powered, surfaces on processing in both low- and high-pressure discharges is widely recognized. But our current level of understanding is severely limited because these are inherently multidimensional effects and because the surfaces are poorly characterized. For low-pressure discharges, such surfaces are usually constructed of glass, quartz, alumina, stainless steel, or aluminum. Their behavior is often determined by exposure to energetic electrons, ions, and photons and is reasonably well characterized for clean surfaces. However, when these surfaces are coated with plasma process products, their behavior is difficult or impossible to predict with our present knowledge and diagnostic capabilities.

Passive surfaces associated with high-pressure discharges, such as substrates and constrictors, are subject to large heat loads and to bombardment by particulates. Energy transfer models for cooled surfaces exist only for a limited parameter range and are insufficiently verified by experiment. Better descriptions are needed for heat transfer coefficients, chemical composition of the nonequilibrium boundary layer, homogeneous nucleation in the boundary layer, and heterogeneous nucleation on the surface.

Particulates

Discharge walls are not the only solid surfaces in contact with the plasma. Particulates formed by gas phase chemistry or sputtered from the walls typically acquire negative charges and become trapped within the discharge. In this way, they can dramatically influence plasma transport as well as affect material properties. Particulates are an undesirable side effect in etching but are the desired product in ceramic precursor synthesis. Modeling and simulation studies of particulate growth, trapping, and loss within discharges could have immediate consequences in improving manufacturing yields.

The interaction of thermal plasmas with particulates is important in several applications: (1) in plasma spraying, it is the momentum and energy exchange between the plasma and the spray powder that largely determines the quality of the coating, and considerable effort has gone into describing this interaction; (2) in plasma synthesis, i.e., the plasma generation of particles from a vapor-phase chemical reaction, nucleation and particle growth processes are still poorly

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

understood, and few diagnostic data are available; (3) in TPCVD, the avoidance of nucleation in the boundary layer in front of the substrate is usually desirable for good film quality.

Microstructure Evolution

For ion-induced etching (i.e., no neutral component), profiles have been simulated from first principles by considering ion-neutral scattering in the sheath and assuming that the etching rate is proportional only to the energy flux at the surface. Remarkably good agreement with results of experiments has been obtained for the etching of photoresist in an oxygen plasma despite simplifying assumptions about the ion transport and etching mechanism. For more complicated systems, semiempirical methods have been used successfully to model profile evolution during low-pressure plasma etching and deposition. Most etching reactions are not so simple, however, and profile evolution is usually modeled by specifying vertical and horizontal etch rates for each of the films on the wafer exposed to the plasma: for example, photoresist, silicon dioxide, and doped silicon. These rates are assumed to be uniform across the wafer and independent of time and topography. They are also determined from a set of expensive calibration measurements. A new set of calibration measurements must be performed whenever process variables—such as discharge power, pressure, gas mix, film doping, and photoresist composition—are changed. Reliable models for plasma generation and transport could have a dramatic impact on profile simulation, providing that adequate models and data for etching and deposition surface chemistry also exist.

In Situ Analysis

Without proper specification of reactor operating conditions, it has been difficult at best to compare diagnostic data obtained in different laboratories or to compare laboratory results with those given by models. Such specification, of course, would also help in transferring industrial processes from one reactor to another. Currently, processes are retuned even when transferred between nominally identical reactors because there are real differences in, for example, reactor wall conditions that are not diagnosed. Real-time, in situ measurement of surface properties could revolutionize our understanding of plasma-surface interactions.

Experiments that provide measurements of surface properties during plasma operation are desperately needed. Changes in plasma emission and absorption spectra have been used to sense changes in surface conditions and implement process control, but to date this has required empirical calibration for each set of processing conditions. Despite the criticality of surface temperature in controlling plasma-surface interactions, only recently have techniques been developed for real-time, nonintrusive temperature measurement.

Other in situ surface analytical techniques that have been employed are deficient in providing detailed surface concentrations and charge densities. For example, ellipsometry is perhaps the most widely used real-time, in situ analytical technique, but it provides only a measurement of the dielectric properties of the thin film at a single wavelength. Even employing the much more powerful spectroscopic ellipsometry, one still obtains only the dielectric properties as a function of wavelength or energy. While this is valuable information and could be useful in process control schemes, a model is always needed to convert the dielectric constants to other parameters such as thin-film composition or morphology.

Light scattering has recently been applied to monitoring surface morphology during plasma processing, and photoluminescence has been used to monitor damage during etching of compound semiconductors such as GaAs and AlGaAs. But both these techniques require advances in theoretical simulation to unambiguously relate the measured quantities to surface properties.

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

In situ compositional information might be obtained by using techniques such as Rutherford backscattering and x-ray fluorescence. Such experiments would require an accelerator and a synchrotron, respectively, and would best be carried out as a collaborative effort between industry, academia, and a national laboratory.

FUNDING FOR PLASMA PROCESSING RESEARCH

A rigorous assessment of the funding situation for plasma processing research has been difficult to perform for basically two reasons: (1) there is no central agency that monitors federal funding of plasma processing research; and (2) most industrial concerns are unwilling to disclose the money invested in a particular research effort. Nonetheless, the panel conducted an informal survey of government funding agencies to estimate the level of federal funding for plasma processing science and technology. Results indicated that at least 14 divisions or offices within government agencies such as the National Science Foundation, the Defense Advanced Research Projects Agency, the Department of Energy, the Air Force Office of Scientific Research, and the Office of Naval Research invest a total of approximately $17 million in plasma process science and technology through a variety of programs. Of this total, approximately 25 percent goes toward programs focused on thermal plasma applications.

In addition to federal funding, industry contributes approximately $3 million per year through the Semiconductor Research Corporation (SRC) and SEMATECH. Most of these programs are focused on short-term development projects. Thus the estimated total, excluding proprietary research by chip manufacturers and plasma processing equipment vendors, is approximately $20 million per year. This sum includes funds for salaries, overhead, and operating expenses.

Most of the money from SEMATECH is invested in SEMATECH Centers of Excellence (SCOEs). Each center is focused on a particular aspect of semiconductor manufacturing technology, and Princeton University, with a strong pedigree in fusion research, is the plasma processing SCOE. Other SCOEs and universities supported by SRC that have programs in plasma processing research include the Massachusetts Institute of Technology, the University of Michigan, Rensselear Polytechnic Institute, the University of California at Berkeley, North Carolina State University, the University of New Mexico, and Arizona State University. In addition, the National Science Foundation created an engineering research center for plasma-aided manufacturing at the Universities of Wisconsin and Minnesota in 1989. Wisconsin again has a strong background in fusion research, and Minnesota has a long history of thermal plasma processing research.

By and large, current funding has been inadequate and insufficiently coordinated to support the generation of plasma diagnostic data, surface interaction studies, development of new in situ surface diagnostic techniques, simulation of plasma generation and transport, simulation of surface processes, and compilation of a minimal basic data set. Atomic and molecular physics programs are not coupled to plasma process research programs, and so what little experimental and theoretical work is being performed to generate needed cross sections and rate constants is not focused on the needs of plasma processing. This situation in the United States should be contrasted with the situation in Japan and in France.

Japanese Research

A striking difference between Japanese universities and those in the United States is the degree of cooperative research being conducted between industrial, national, and university laboratories. In almost every case, those from Japanese universities who responded to the panel's informal survey (see Chapter 5) stated that at least one or, in many cases, more researchers from industry were working as visiting scientists in their laboratories. Frequent

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Figure 4.10 Number of publications on electron cyclotron resonance plasmas  as a function of the year published. Japan has dearly been leading the  research effort. (Compiled by R. Matula, AT&T Bell Laboratories.)

meetings are held for presenting results, participating in problem solving, and preparing proposals. Since graduate education and research in plasma engineering are often carried out by master's candidates who have concurrent jobs in industry, industrial support and the connection to industrial needs are natural and prevalent.

These cooperative research efforts are, in part, orchestrated by a national program administrated by Japan's Ministry of Education, Science, and Culture (MESC). This program, called "Grant-in-Aid for Scientific Research on Control of Reactive Plasmas," was developed in response to the MESC's designating plasma processing as a national scientific "priority" area. As a result of this designation, joint funding for universities and industry is provided. As of 1990, more than 58 universities and industrial organizations and 157 senior scientists were participating in the program.

This form of cooperative funding and research is beneficial to the field, enabling a critical number of researchers to focus their attention on a single area and providing a forum for information and technology exchange. Much of the Japanese progress in plasma processing, in universities in particular, can be traced to this program. This program is at least one reason that the Japanese have established a clear lead in electron cyclotron resonance research (Figure 4.10) and application (see Figure 3.7).

The MESC program is, in principle, similar to SRC- and SEMATECH-sponsored university research in the United States. There are, however, significant differences. The Japanese program targets a broader range of fundamental science topics (e.g., measurements of electron-impact cross sections and excited-state excitation transfer coefficients). This ability to fund basic science in concert with applied science in a cohesive program is, of course, driven by the availability of funding and the willingness of universities and industry to work together. The SRC has neither the funding nor a mandate to address many basic science issues.

Another important difference between university research in the United States and Japan is the method of funding. The MESC program titled "Control of Reactive Plasmas" is currently funded at approximately $5 million for 3 years. That funding, though, represents the incremental research dollar that can be spent primarily on equipment and discretionary purchases: the salaries of permanent scientific staff, professors, and students are paid by the MESC exclusive of research grants. Senior researchers also routinely receive in excess of $40,000 per year for supporting costs and expendable supplies, and they can apply for an additional $40,000 to $200,000 per year. Considering that overhead expenses are not paid out of these funds and that salaries need not be paid out of research funds, the $5 million funding from the MESC for research in reactive plasmas is equivalent to perhaps $25 million to $30 million in the United States.

In addition to the support provided through the project on control of reactive plasmas, direct support is given to various laboratories by the MESC for plasma processing activities. For

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

example, Kyushu University recently received $15 million for 3 years to create a plasma engineering laboratory, with about 30 percent of the effort related to plasma processing. Again, salaries for professors, students, and support staff are already paid by the ME$C.

French Research

The degree to which research is centrally coordinated on the federal level is much higher in France than in the United States. This is largely a consequence of the efforts of the Centre National de la Recherche Scientifique (CNRS). The CNRS serves as a funding agency, organizes joint research programs between CNRS facilities, universities, and industry, and coordinates summer schools and continuing education programs. Many university research groups are fully funded by the CNRS.

An example of CNRS-coordinated collaborations between universities, CNRS research facilities, and industry is the Groupe de Recherche Coordonne (GRECO) 57 program "Interactions Plasmas Froids/Surfaces (Interactions Between Cold Plasmas and Surfaces)." The objectives of this program are to coordinate research in various aspects of plasma processing, including modeling, in situ plasma and surface diagnostics, and materials characterization. As of late 1990, GRECO 57 focused on reactive plasmas. The applications that it targeted are deposition of amorphous and microcrystalline silicon, deposition of amorphous carbon, diamond-like and diamond films, and treatment of polymers and etching.

FINDINGS AND CONCLUSIONS

Fabrication of future microelectronic devices will demand a departure from the traditional, empirical approach to plasma process and reactor development. Reactors and processes best able to meet future device specifications will be designed, not empirically developed. In the case of process design, what is foreseen in the nearer term is an expert-systems approach in which basic science provides needed scaling relationships and guidance in limiting the process variable parameter space. Because of the complexity of plasma chemistry and plasma-surface interactions, first-principles models will take longer to develop, and experimentation and statistical optimization will continue to be necessary for process development. For reactor design, on the other hand, first-principles-based computer-aided design (CAD) tools can be more readily developed and will enable rapid comparison of alternative design concepts without time-consuming and costly construction of numerous prototypes.

Although basic studies have had significant impacts on previous plasma process and reactor development, the basic science needed to build design tools and expert systems is much more extensive. Three areas are recognized as needing concerted, coordinated experimental and theoretical research: surface processes, plasma generation and transport, and plasma-surface interactions. In each of these areas, there are dire needs for basic data such as cross-section and rate constant sets.

Most of our understanding of surface processes in plasma reactors has come from carefully controlled beam-surface studies, in which reactants impinging on the surface have well-controlled energy and purity and the surface is well characterized before and after reaction. Technically, U.S. laboratories have excelled in this kind of research, but poor coordination has inhibited its use in new reactor design and plasma process simulation. Sophisticated surface process simulations are being developed rapidly, and the advent of enhanced supercomputer capabilities will enhance this progress further. But little effort is currently focused on applying these methods toward trying to understand the effects of energetic bombardment and the chemical reactions that are prevalent in processing plasmas.

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×

Models and diagnostic techniques for plasma generation and plasma transport are rapidly growing in sophistication. These are needed to simulate, understand, and control energetic bombardment of device wafers in plasmas. Because plasma generation and transport are the primary focus of new reactor design, the simulation tools already developed could be developed further and directly implemented in CAD tools. The advent of new computer technology will enable plasma simulators to meet the challenges of calculating particle energy distribution functions for multidimensional, magnetized systems. Numerical simulation will be invaluable in developing an understanding of the instabilities and turbulence in plasma reactors that currently inhibit reproducibility and control in processing. However, progress is currently impeded by lack of a reference model with which algorithms can be tested and evaluated; a lack of basic data on cross sections and rate constants that are required for making quantitative comparisons with the results of laboratory experiments; and a lack of reliable quantitative diagnostic data.

Diagnostic technology is sophisticated, but experiments are loosely focused and performed on a large variety of different reactors under widely varying conditions. A coordinated effort to diagnose a simple, reference reactor has begun to generate the necessary data base for evaluation of simulation results and to test new and old experimental methodology.

The dearth of basic data needed for simulation of plasma generation and transport results directly from insufficient funding. Data that exist are difficult both to find and to disseminate. The methods—both experimental and theoretical—exist for generation of most of the needed data. Lack of coordination between researchers generating basic data and those simulating and diagnosing plasmas also contributes to the problem. The critical basic data needed for simulations and experiments have not been prioritized.

To control plasma processes and make full use of basic surface and plasma science studies, the problems of plasma-surface interactions must be considered. Foremost among these interactions is how plasmas modify surface properties that affect emission of particles and surface conductivity. There is an urgent need for in situ analytical tools that provide information on surface composition, electronic properties, and material properties that relate directly to device yield. Another challenge is to couple plasma generation and transport simulation to surface processes in order to predict surface profile evolution during plasma etching and deposition.

Although the United States is making strong efforts in each of the three critical research areas, and in many cases the best efforts, these efforts are largely uncoordinated with respect to one another and are disconnected from the plasma equipment vendors who develop new reactors and processes. Funding comes from at least 14 different federal agencies as well as from separate industrial sources. Connections between surface processes, plasma generation and transport, basic data, and plasma-surface interaction research are nonexistent. This situation differs markedly from the situation in Japan and France, where research in these areas is closely coordinated between industrial, national, and university laboratories.

Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 37
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 38
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 39
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 40
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 41
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 42
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 43
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 44
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 45
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 46
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 47
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 48
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 49
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 50
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 51
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 52
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 53
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 54
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 55
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 56
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 57
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 58
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 59
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 60
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 61
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 62
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 63
Suggested Citation:"4 SCIENTIFIC FOUNDATION OF PLASMA PROCESSING." National Research Council. 1991. Plasma Processing of Materials: Scientific Opportunities and Technological Challenges. Washington, DC: The National Academies Press. doi: 10.17226/1875.
×
Page 64
Next: 5 EDUCATIONAL ISSUES »
Plasma Processing of Materials: Scientific Opportunities and Technological Challenges Get This Book
×
Buy Paperback | $40.00
MyNAP members save 10% online.
Login or Register to save!
Download Free PDF

Plasma processing of materials is a critical technology to several of the largest manufacturing industries in the world—electronics, aerospace, automotive, steel, biomedical, and toxic waste management. This book describes the relationship between plasma processes and the many industrial applications, examines in detail plasma processing in the electronics industry, highlights the scientific foundation underlying this technology, and discusses education issues in this multidisciplinary field.

The committee recommends a coordinated, focused, and well-funded research program in this area that involves the university, federal laboratory, and industrial sectors of the community. It also points out that because plasma processing is an integral part of the infrastructure of so many American industries, it is important for both the economy and the national security that America maintain a strong leadership role in this technology.

  1. ×

    Welcome to OpenBook!

    You're looking at OpenBook, NAP.edu's online reading room since 1999. Based on feedback from you, our users, we've made some improvements that make it easier than ever to read thousands of publications on our website.

    Do you want to take a quick tour of the OpenBook's features?

    No Thanks Take a Tour »
  2. ×

    Show this book's table of contents, where you can jump to any chapter by name.

    « Back Next »
  3. ×

    ...or use these buttons to go back to the previous chapter or skip to the next one.

    « Back Next »
  4. ×

    Jump up to the previous page or down to the next one. Also, you can type in a page number and press Enter to go directly to that page in the book.

    « Back Next »
  5. ×

    Switch between the Original Pages, where you can read the report as it appeared in print, and Text Pages for the web version, where you can highlight and search the text.

    « Back Next »
  6. ×

    To search the entire text of this book, type in your search term here and press Enter.

    « Back Next »
  7. ×

    Share a link to this book page on your preferred social network or via email.

    « Back Next »
  8. ×

    View our suggested citation for this chapter.

    « Back Next »
  9. ×

    Ready to take your reading offline? Click here to buy this book in print or download it as a free PDF, if available.

    « Back Next »
Stay Connected!