National Academies Press: OpenBook

Energy-Efficient Technologies for the Dismounted Soldier (1997)

Chapter: 7 Advanced Concepts

« Previous: 6 Networks, Protocols, and Operations
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

7
Advanced Concepts

In the future, dismounted soldiers will be deployed in smaller groups, with each soldier capable of controlling a larger area. The greater dispersion of forces will reduce the soldier's vulnerability to detection but will increase the requirements to operate independently and to communicate over long distances. The Land Warrior system will increase situational awareness and allow dismounted soldiers to detect and engage the enemy at distances that can disrupt the tempo of enemy operations. The systems will provide data on positions, targets, and intelligence, as well as the means of bringing both direct and indirect fire on the enemy. A soldier operating outside the range of the enemy, but inside the enemy's decision cycle, will constitute a new capability made possible by advances in computation and communications technologies.

Chapters 3 through 6 showed that the performance of Land Warrior and future dismounted soldier systems (in terms of weight, bulk, and stealth) all depend on the energy efficiency of the equipment and that there is no single solution to achieving energy sufficiency on the battlefield. Chapter 3 showed that R&D can lead to significant improvements in the specific energy (energy per unit mass) of batteries and other power stores. In particular, the specific energy of batteries could be improved by a factor of two or more, whereas fuel cells and other fueled systems could provide improvements of as much as a single order of magnitude (10 times). Chapters 4 through 6 showed, however, that significantly greater improvements will be gained by reducing energy consumption. Systems that incorporate low power electronics technologies, energy conscious design techniques, and suitable network architectures and protocols can cumulatively provide for improvements of several orders of magnitude.

This chapter discusses the advanced concepts involved in achieving energy sufficiency for the dismounted soldier of the future. It explains the fundamental crisis of energy supply, describes the potential for improving energy efficiencies, estimates achievable energy consumption for dismounted soldier systems, and describes the paradigm shifts necessary to resolve the problem.

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

COMPARING LAND WARRIOR WITH COMMERCIAL TECHNOLOGY

The initial design of the Land Warrior system includes a suite of sensors, displays, weapons, and communications devices integrated by a general-purpose computer. Powered by standard Army batteries, the system as initially fielded in the year 2000 is estimated to weigh 40 lb and require 50 W of power. The target for energy sufficiency, that is, the average operational time that the system can be used without battery replenishment, is 12 hours. Table 7-1 shows the estimated power requirements for functional devices included in the Land Warrior subsystems. The figures are Army estimates of "function operating power" (that is, power requirements during operation) derived from the Operational Requirements Document (TRADOC, 1994a).

Compact Energy Sources

Chapter 3 showed that the most practical portable energy sources for the dismounted soldier are rechargeable lithium batteries and fuel cells for recharging them. The goal for the near term is a rechargeable battery with specific energy approaching 200 Wh/kg (Watt-hour per kilogram) that has a long life and can tolerate overdischarging and undercharging. For a mission requiring less than 200 Wh, the battery can stand alone but might require an electrochemical capacitor for "load leveling" (meeting intermittent requirements for high power). These capacitors will have specific energy on the order of 8 to 10 Wh/kg, with specific powers greater than 5 kW/kg.

The first fueled systems (most likely fuel cells) will serve as squad or platoon charger stations. These devices will have minimal signature across the spectrum and hence have an advantage over other fueled systems (such as reciprocating engines). Both hydrogen proton exchange membrane fuel cells (PEMFC) and direct methanol fuel cells (DMFC) are inherently more efficient than other fueled systems, although others have the advantage of using current logistics fuels. While the Army has been focusing mostly on improving energy sources, the commercial world has also been exploring new technologies and system configurations to reduce power requirements.

Commercial Electronic Systems

The performance of electronic systems is expected to continue to improve exponentially for at least the next three decades (see Chapter 4). At the same time, consumer applications of advanced technologies will drive down the cost and drive up the performance levels of commercial technologies to levels that are

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

TABLE 7-1 Estimated Power Requirements for the Land Warrior System

Land Warrior Subsystem

Function Operating Power (W)

Computer/Radio Subsystem  

Computer

14.8

Hand-Held Flat Panel Display

6.4

Soldier Radio    

Receive

1.4  

Transmit

6.0

Squad Radio    

Receive

2.0  

Transmit

12.0

Global Positioning System

1.5

Video Capture

1.0

Subtotal

45.1

Integrated Helmet Assembly Subsystem (IHAS)  

Laser Detectors

0.6

Helmet-Mounted Display

4.9

Image Intensifier with Integrated Flat Panel Displays

0.1

Subtotal

5.6

Weapon Subsystem  

Laser Rangefinder

0.1

Laser Aiming Light

0.1

Digital Compass

0.4

Thermal Weapon Sight/Close Combat Optic

5.5

Subtotal

6.1

TOTAL

57.0

nearly inconceivable today. Several segments of the commercial electronics market, especially devices for digital signal processing, are working to improve the energy efficiency of key technologies used in portable products similar to products required by the Land Warrior. The historical data on the energy dissipation of these devices in terms of the power required to execute one MIPS(million instructions per second) (discussed in Chapter 5) were used to projectfuture performance (Figure 7-1).

Figure 7-1 shows that, even with conservative estimates of the rate of improvement in the energy demand of digital logic, CPUs and programmable DSPs will reach performance levels of 10,000 MIPS/W by the year 1999, and as

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

FIGURE 7-1 Projected MIPS/W performance of microprocessors and programmable digital signal processors over time.

much as 1,000,000 MIPS/W by 2009.1 Electronic systems based on this enormous computational capability, along with similar gains in communications systems, could revolutionize military weapons and support systems.

In light of these trends, the committee believes that major components of the present Land Warrior design (e.g., the communications/computation subsystem) will be clearly obsolete compared to systems being developed for use in commercial equipment (such as palm-top computers and cellular phones). The rapid rate at which energy consumption is being reduced in electronic systems for commercial markets will produce a growing performance gap between commercial technology and the technology available to the Army through its supplier base.

The committee found that power requirements for Land Warrior had been tabulated rather than optimized and that priorities for using power among the subsystems had not been established. For example, with no priorities for the capabilities that a soldier should carry, the power requirement for the laser red dot is considered as valid as the power requirement for video transmission. Unlike the straightforward requirement for the laser red dot, video transmission has major requirements for bandwidth and compression software to process the video data, which will result in additional power requirements for the system.

Simulations of the trade-offs between electric power and functional capabilities would help the Army quantify the energy costs of the additional electronics and reduce overall power requirements. Only by optimizing both the

1  

These estimates do not depend upon the exponential improvements into ultrascale integration forecast by the NTRS and described in Chapter 4. However, if such improvements are realized, even more dramatic reductions in energy consumption for digital computation would be possible.

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

capabilities of the soldier and the power requirements can the Army expect to field the most capable Land Warrior system.

The Crisis

Chapters 5 and 6 showed that the Land Warrior system will fall short of the vision of the digitized battlefield because of excessive power requirements for computation and radio transmission. The program is on a course to field subsystems that, by and large, are heavier, bulkier, and less functional than comparable systems that could be built using commercial consumer technologies. Over time, as commercial products continue to improve and possess capabilities that the Army is unable to field for itself, a crisis of major proportions will emerge. Even if more energy becomes available, the Army will be unable to use it efficiently to achieve either its current objectives or future objectives for dismounted soldier operations.

This crisis has two components. The first involves the realization of current goals, such as battlefield digitization, and the relationship of these goals to the electrical energy used by the dismounted soldier. Specifically, unless dramatic changes are made in the design of the soldier system and in the associated doctrine, the amount of energy storage required will preclude soldier mobility, even with expected advances in energy source technologies.

Second, unless the Army is able to track and exploit commercial technology in Army-specific designs, potential adversaries will be able to acquire capabilities superior to the Army's from commercial sources. Even more troublesome is that the Army has to do more than catch up with and match commercial technologies. The Army's equipment must have a competitive edge over the equipment of potential adversaries.

USING COMMERCIAL TECHNOLOGY IN THE LAND WARRIOR SYSTEM

In order to quantify the advantages of using energy-optimized designs, the committee developed assumptions and estimated future power requirements based on commercial state of the art technologies. Using the power requirements of the objective Land Warrior system as a starting point, Table 7-2 illustrates the magnitude of the differences between the Army's current power requirements and power requirements that could be achieved using equipment comparable to the commercial equipment expected to be available in 2001. Assuming that the system capabilities remain approximately constant, except for increases in computational capability, the table also includes the committee's very conservative predictions of what should be possible in the year 2015.

In Table 7-2 the committee's estimates for the year 2001 are based on present commercial equipment or on assumptions that the results of current R&D will become available. The projected reductions in power demand in the year

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

TABLE 7-2 Comparison of Power Requirements for the Land Warrior System and Notional Dismounted Soldier Systems

 

Land Warrior in 2001 (W)

Commercial Technology in 2001 (W)

Commercial Technology in 2015 (W)

Computer/Radio Subsystem

Computer

14.800

0.150

0.010

Hand-Held Flat Panel Display

6.400

0.200

0.007

Soldier Radio

Receive

1.400

0.100

0.025a

Transmit

6.000

1.600

1.520a

Squad Radio        

Receive

2.000

b

b  

Transmit

12.000

b

b

Global Positioning System

1.500

0.100

0.020

Video Capture

1.000

0.050

0.010

Subtotal

45.100

2.200

1.592

Integrated Helmet Assembly (IHAS Subsystem)    

Laser Detectors

0.600

0.050

0.025

Helmet-Mounted Display

4.900

0.220

0.025

Imager

<0.100

0.050

0.025

Subtotal

5.600

0.320

0.075

Weapon Subsystem

Laser Rangefinder

0.050

0.050

0.025

Laser Aiming Light

0.075

0.005

0.005

Digital Compass

0.350

0.005

0.002

Thermal Weapon Sight

5.525

1.100

0.160

Subtotal

6.000

1.160

0.192

Wireless Sensor and Display Interconnect

0.100

0.050

TOTAL SYSTEM POWER

56.70

3.78

1.91

a Power requirements reduced by design improvements.

b Power requirements to accommodate range and bandwidth for the squad radio are unbounded; reductions will require improved architecture.

2001 generally involve the Land Warrior program catching up to the commercial sector and thus have relatively low risk in that they will not require breakthroughs or dramatic changes in technology. An implicit assumption, however, is that significant changes can be made in Army requirements and specifications that will allow the use of new technologies. These changes will require a breakthrough in the Land Warrior design and procurement procedures so that subsystems and components with energy efficiency as a primary consideration can be used.

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

To facilitate the comparison of power requirements in two distinct time frames, the committee used the soldier functions identified for the baseline Land Warrior system in both near-term and far-term projections. This simplifying assumption ignores the very real tendencies for systems to become more complex and for new power requirements to be added that offset improvements. Although predictions of future soldier functions were not included as part of this study, the committee expects that the Army will have to take into account the likely increase in power requirements in its own analyses of future dismounted soldier systems.

Table 7-3 lists the specific assumptions used by the committee in making the projections of technical progress for each of the Land Warrior functions delineated in Table 7-2. Estimates of continued reductions in power for the year 2015 assume that the Army is able to track the exponential improvements in electronics technology that have characterized commercial products over the last 20 years, as documented in Chapter 4. This progress, however, has been based on breakthroughs and technology changes (e.g., the transition to CMOS [complementary metal-oxide semiconductors] from NMOS [n-channel metal-oxide semiconductors]). This will require that the Army be more flexible than ever before. The challenge to the Army is to track these advances and match the rate of improvement of the commercial sector.

The following reasoning was the basis for these extrapolations:

  • Power requirements of programmable digital electronics will follow the trend lines in Figure 7-1, yielding a power-to-computation ratio of 0.5 mW/MIPS in the year 2001. To be conservative, the committee assumed that interconnect length and other problems expected to arise in moving to deep submicron technology will increase the ratio by a factor of two to a value of 1 mW/MIPS. The trend curves indicate a further reduction by a factor of 1,000 by the year 2015 to 1 µW/MIPS (a factor of ten for every five years). To be conservative, this figure is also derated by a factor of ten, yielding a ratio of 10 µW/MIPS for 2015.

  • Dedicated architecture solutions for digital functions are—again conservatively—a factor of ten (actually more like 100) more energy-efficient than programmable solutions, yielding power-computation ratios of 0.1 mW/MIPS in 2001 and 1 µW/MIPS in 2015.

  • Power requirements of the analog circuitry will not improve as rapidly as those of the digital solutions so that a factor of two improvement from 2001 to 2015 is used.

  • Transmit power used by the radios is set to 1.5 W, which is approximately the power required to transmit 16 kbits/sec over 2 km at 75 MHz. This is a highly variable quantity and is discussed below.  

The operating power levels in Tables 7-1 and 7-2 must be adjusted for estimates of duty cycle and mission time to yield actual battery requirements. However, as can be seen from the numbers in the table, by 2015, if the Army

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

TABLE 7-3 Assumptions Used to Derive Power Requirements in Table 7-2

Computer

2001 (0.15 W) 150 MIPS computation requirement required for I/O functions, such as speech recognition at 1 µW/MIPS.

2015 (0.01 W) 1,000 MIPS computation requirement at 10 mW/MIPS

Hand-Held Flat Panel Display

2001 (0.2 W) Reflective LCD displays commercially available for ''personal digital assistants" require 0.2 W for a monochromatic (640 x 480) display.

2015 (0.007 W) Display technologies allowing refresh rates of 1 frame/sec will reduce power by a factor of 30.

Soldier Radio (receive)

2001 (0.1 W) Includes 30 MIPS of programmable DSP at 1 mW/MIPS, 200 MIPS of dedicated DSP (0.1 mW/MIPS) for security and advanced radio functions, and 50 mW of analog processing such as the power used for commercial cellular radios (e.g., GSM).

2015 (0.025 W) Analog processing will be a factor of two lower than the 2001 requirement and the digital processing will be reduced by a factor of 100 (becoming negligible).

Soldier Radio (transmit)

2001 (1.6 W) Power for the transmit amplifier will require 1.5 W, with the remainder of the functions similar to the 0.1 W requirement for receive functions above.

2015 (1.52 W) Power for the transmit amplifier will still require 1.5 W, with the remainder reduced to 0.025 mW.

Global Positioning System

2001 (0.1 W) 600 MIPS of dedicated DSP (0.1 mW/MIPS), analog processing at 40 mW, based on results of present research.

2015 (0.02 W) Dedicated DSP will be reduced by a factor of 100 to 0.6 mW and analog processing by a factor of two to 20 mW.

Video Capture

2001 (0.05 W) Frame buffers (30 mW) and analog-to-digital (A/D) converters (20 mW) based on low power designs in existing research programs.

2015 (0.01 W) Frame buffer dissipation will be reduced by a factor of 100 (becoming negligible) and A/D conversion by a factor of two to 10 mW.

Laser Detectors

2001 (0.05 W) Sensor uses a technology similar to the technology for active pixel imaging arrays, which require 50 mW.

2015 (0.025 W) Primarily analog functions will be reduced by a factor of two.

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

Helmet-Mounted Display

2001 (0.22 W) Illumination requires 100 mW for a square inch of display, driver electronics require 20 mW, and the display requires 100 mW.

2015 (0.025 W) Change to virtual retinal displays will allow reduction by factor of ten.

Imager

2001 (0.05 W) Based on research using active pixel sensor.

2015 (0.025 W) Primarily analog functions will be reduced by a factor of two.

Laser Rangefinder

2001 (0.05 W) 50 mW from analog electronics, with negligible power from the 0.75 W laser because of the low duty cycle.

2015 (0.025 W) Primarily analog functions will be reduced by a factor of two.

Laser Aiming Light

2001 (0.005 W) Replacing LED (light emitting diode) with laser allows 1 mW output from 5 mW input.

2015 (0.005 W) Power conversion efficiency of laser will be unchanged.

Digital Compass

2001 (0.005 W) Commercially available.

2015 (0.002 W) Primarily analog functions will be reduced by a factor of two.

Video Camera

2001 (0.5 W) Based on requirements for present video camcorders (450 mW); the imager alone requires 50 mW.

2015 (0.05 W) Replacement of mechanical functions by electronics will reduce power by a factor of ten. Imager alone will be reduced to 5 mW.

Thermal Weapon Sight

2001 (0.6 W) 0.5 W demonstrated for long wavelength sensor and 0.1 W for the heater/cooler.

2015 (0.11 W) Use of thermocouple films (0.1 W), which reduces heater/cooler requirements to 10 mW.

Wireless Sensor and Display Interconnect

2001 (0.1 W) 10 mW/node, 10 nodes.

2015 (0.05 W) Primarily analog functions will be reduced by a factor of two.

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

achieves the same efficiency as commercial technology, either the total mission duration could be increased by a factor of 20 or the required batteries could be reduced by a corresponding amount. When the duty cycle specifications are taken into account, the improvement will be even greater because many of the high power consuming components will have low duty cycles. General considerations for each of the four functional elements in the Land Warrior system, the computer, displays, sensors, and radio communications, are discussed below.

Computer

Table 7-2 shows that the general-purpose computer in the present Land Warrior system accounts for one-third of the energy consumed by the system. The present use of this computer indicates that a conventional laptop is inappropriate to the task. A primary motivation for using a general-purpose computer is backwards software compatibility with DIICOE (the defense information infrastructure common operating environment). Unfortunately, this standard requires the computer to carry 100 million bytes for operating system and application software, and the use scenario requires a duty cycle of nearly 90 percent. This arrangement, with a hard disk drive, is unduly power hungry and is also a single point of failure, which is particularly problematic considering the complexity of the operating system and its reliance on a hard disk drive.

In the short term, a commercial palm-top computer with solid state storage could easily perform all of the functions for which this computer is needed (e.g., storing orders, simple maps), and the sensor processing could be distributed to dedicated processors at the sensor sites. This would eliminate the problem of a single point of failure and improve power efficiency.

In the longer term, a multimedia terminal for the soldier, like the one described in Chapter 5, would be more appropriate. This terminal could be designed primarily as an input/output terminal, with appropriate processing to reduce the external communication bandwidth requirements.

Displays and Sensors

In Chapter 5, the committee developed a prospective suite of displays and sensors and suggested ways to upgrade them into energy-efficient systems for Land Warrior and successor systems. The functions included in the prospective suite are shown in Table 7-2 but are not necessarily the optimal combination for dismounted soldiers. The displays and sensors fall into two classes of energy consuming devices: control electronics and associated interfaces; and application-specific devices.

The control electronics will be able to exploit the reductions in power requirements made possible by commercial advances in electronics technology. The sensors themselves may not realize the same advances, but, as the committee noted in Chapter 5, most of the high energy consumption by these devices in the

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

Land Warrior system comes from high levels of energy consumption at the analog-to-digital interface. Consequently, the total for the entire display and sensor suite proposed in Table 7-2 is expected to require little more than 300 mW of power by the year 2015. (No power management circuitry is assumed for any device except the laser rangefinder; thus, all devices operate at full power). If, for example, one were to allot a fixed budget of 500 mW for displays and sensors, roughly 200 mW would be available for adding functions, such as a wireless interface, an intercom, a video camera, automation of some sensing functions, or data compression at the sensor chip level. Clearly, the Army would benefit from a concerted effort to improve the energy efficiency of individual displays and sensors so that additional functions could be added without increasing total energy consumption.

Radio Communications

Table 7-2 clearly shows that as power requirements for the subsystems are reduced the percentage of energy required for radio transmissions increases. Although radio transmissions consume less than 15 percent of the power of the objective Land Warrior system (with all systems considered to be on at all times), they could use nearly half of the power in 2001, and more than 80 percent in the year 2015! This problem will be even greater if vastly higher bandwidth requirements and higher transmission frequencies are needed to support the digitized battlefield. In that case, transmit energy consumption requirements will be unrealizable.

Table 6-3 shows that transmitting 16 kbits/sec over a distance of 1 km would require 0.2 W, which would have to increase by a factor of 100 to 20 W to support a 1.6 Mbits/sec video transmission. This problem is so acute in the case of the squad radio, with transmission distances up to 5 km, that the committee did not estimate energy consumption for the squad radio in Table 7-2. The power requirements would be so great as to serve no useful purpose.

The solution to these fundamental communication problems lies in designing a network architecture and the components of this architecture (e.g., use of intermediate repeaters) and using computation-intensive techniques (such as speech and image compression and database caching) to reduce communication needs to a minimum. Even more important, the Army must develop a doctrine that is consistent with the fundamental energy requirements of wireless transmission. This doctrine should recognize the trade-offs between communication modalities (e.g., natural speech, real-time imagery, textual information) and the energy needed for effective communications.

DESIGNING A SYSTEM FOR LOW ENERGY CONSUMPTION

Table 7-2 shows that if the functionality of the Land Warrior system remained constant for the next 20 years, the energy consumption of all Land

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

Warrior systems, except for the transmit power of the soldier radio, could be improved by a factor of 100. Doing so, however, would require a system design methodology with energy efficiency as a primary consideration like the one now being used for portable consumer electronics.

The Army must develop this kind of a system design methodology if it means to meet the requirements of the future digitized battlefield. However, merely following an energy-efficient system design will not be enough because a new (or possibly even present) doctrine could result in energy requirements that cannot be met at reasonable battery weight levels. The Army must find a way to evaluate the energy implications of doctrine-driven requirements so that planners can provide feedback as a doctrine is being developed. Simulation is one strategy with great potential for energy planning. However, even high level, "back of the envelope" estimates of the power requirements for various levels of communications and computation reveal the critical areas for improvement. The results are presented here in terms of the additional weight of the energy source (e.g., batteries) soldiers will have to carry. This approach also illustrates that energy is just one (of many) resources that can be traded off against other expendables, such as ordnance and food.

For the purposes of calculating energy consumption, the soldier system can be broken down into three basic categories: analog electronics, digital computation, and data transmission. The analog component, which includes the sensors, displays, and analog circuits, such as the front-end of the radio receiver, are best characterized by the amount of time they are operational and their energy consumption during that time. Digital computation is best characterized by the amount of computation, as determined by the number of operations or instructions that are executed, and the energy per operation of the computation architecture. Finally, the energy cost of data transmission is best characterized by the energy required per bit of transmitted data (which, interestingly enough, is independent of the actual power required in the transmission). Each of these categories is discussed in more depth below, with simple equations that can be used to estimate energy requirements.

Energy Requirements for Analog Processing and Analog Devices

The energy source requirements for the sensors, displays, radio receiver, analog portions of GPS, video cameras, laser devices, and imagers can be estimated using the following equation:

Wa = Pa·DC·Tm / Es  (1)

Wa = the weight in kilograms of batteries that support the analog processing. Pa = the power of the device in Watts (from Table 7-2). DC = the duty cycle, the

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

fraction of mission time a device is active. Tm = the total mission time in hours. Es = the specific energy of the energy source (Wh/kg).

If the Land Warrior receiver (Pa = 1.4 W) is used 50 percent of the time (DC = 0.5) for a 500 hour mission (Tm = 500) using lithium ion batteries (Es = 200 Wh/kg), the soldier will be required to carry 1.75 kg of batteries. If the radio power were reduced to commercial levels (Pa = 0.1 W), the battery weight would be reduced to 0.12 kg.

Energy Requirements for Digital Computation

The energy source requirements for the computer and other digital processing can be estimated using the following equation.

Wd = (Eop·Nops) / (Es·(3.6·106)) (2)

Wd = the weight in kilograms of batteries that support a given amount of computation. Eop = energy per operation (mW/MIPS). Nops = number of operations (in millions). Es = the specific energy of the energy source (Wh/kg).

Eop can be found from the data given in Figure 7-1 for any given point in time. As described in the derivation of Table 7-2, some modifications should be made to take into account future unknowns, such as interconnect limitations and architecture optimization. In Table 7-2, the following values were used:

  • For programmable architectures, Eop = 1 mW/MIPS in 2001, falling to 0.01 mW/MIPS in 2015.

  • For dedicated solutions, Eop = 0.1 mW/MIPS in 2001, falling to 0.001 mW/MIPS in 2015. (This probably overestimates the energy required for dedicated solutions, which are often a factor of 100 times lower).  

It takes approximately 8 million operations to decompress a single image using standard algorithms. One hour of video would require the decompression of 108,000 images, or 864 billion operations (Nops = 864,000). In 2001, Equation (2) indicates that the soldier would have to carry only 1.2 grams of lithium ion battery to supply the energy for this task.

Energy Requirements for Data Transmission

The energy consumption of a transmitter has three primary components: analog processing (amplifiers, mixers, and oscillators); digital baseband processing; and output power conversion, which includes the energy losses associated with the transmit antenna. Because of the energy efficiency of digital

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

processing, the digital baseband processing for even the most complex modulation will be negligible in comparison to the other components. Therefore, either the analog processing or the transmit amplifier will dominate the energy consumption of the system, depending on the range requirements and the characteristics of the radio environment.

As explained in Chapter 6, by making optimistic assumptions about the radio transmitter efficiencies and radio channel characteristics, a reasonable lower limit for the energy to transmit one bit of information can be found. As shown in Tables 6-2 and 6-3, the required energy varies with the range of the radio link, the radio transmission frequency, and the antenna type and location, all of which are determined by the network and system architecture. For example, using lower radio frequencies requires lower transmission power for the same reliability. This lowers the overall communications capacity, however, which results in either longer times to transmit required information or more co-site frequency interference. Using satellites avoids the high attenuation of ground-based communications, but the increased distance requires larger antennas and higher power transmission. Using UAVs (unmanned aerial vehicles) as airborne relays to avoid the distance problem associated with satellites would be particularly effective because the free space radio propagation characteristics of the path to an airborne station are dramatically better than they are for ground-based transmissions. UAVs could be particularly effective if they were designed with antennas with very large collection areas.

If it is assumed that the energy consumption is dominated by the transmit power, then the following equation can be used to determine the battery requirements:

Wc = (Ec·M) / Es  (3)

Wc = the weight in kilograms of batteries to support a given amount of communications. Ec = the energy required to transmit a megabit of data (Wh/Mb). M = the amount of data (Mb). Es = the specific energy of the energy source (Wh/kg).

At 75 MHz (a standard combat radio frequency), a radio with a 1 meter high, omnidirectional antenna will require an energy expenditure of 0.01 Wh to transmit one megabit of data (Ec = 0.01) over ground for 1.5 km (see Table 6-2). Table 7-4 gives the number of bits to be transmitted assuming different modalities for a standard reporting situation. Thus, 10 seconds of color video requires about 74 Mb of data (M = 74), which according to Equation (3), equates to 0.0037 kg of lithium ion batteries (Es = 200 Wh/kg). If the distance were increased to 5 km (Ec = 1.0 Wh/Mb), the weight would increase to 0.37 kg.

The higher commercial cellular frequencies of 1.5 GHz would require 0.0034 Wh to transmit a megabit over 1 km of open, moderately wooded terrain (Ec = .0035) (see Table 6-3), thus requiring about 0.0013 kg of batteries to support

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

TABLE 7-4 Number of Bits Required to Transmit a Situation Report by Different Modalities

Modality

Bits to be Transmitted

100 Words    

Texta

2,000

Speechb

144,000

Still Picturec  

Black and whited

41,000

Colore

246,000

Video f  

Black and whited

12,300,000

Colore

74,000,000

a ASCII coding with 2:1 data compression

b One minute of digitized audio

c Video data is for 30:1 MPEG2 compression

d 16-level gray scale

e 256-level

f Ten seconds of video

10 seconds of video. This battery weight, however, would increase by 250 times to 0.3 kg in a residential (indoor/outdoor) environment (Ec = 0.87 Wh/Mb). Clearly, long distance, high bandwidth transmission should be used sparingly, and a network architecture (such as the one described in Chapter 6) that uses shorter distance transmissions is required. To see the difference, one only has to calculate the requirements for a transmission of 0.5 km at 1.5 GHz over open terrain, an Ec = 0.0000017 Wh/Mb that would probably be dominated by the analog processing in the transmitter instead of the output power.

Terrestrial wireless communications could be supplemented by satellites in the future. Multisatellite systems, such as the planned Iridium or Teledesic systems, would give soldiers access to a global network (of relatively low bandwidth) that could supplement the higher bandwidth, shorter range soldier's network. Direct broadcast satellite technology could also be adapted to provide two-way communications. Another long-term possibility would be to use a multihop architecture, transmitting information between widely separated terminals via intermediate terminals acting as signal repeaters. Using UAVs to carry repeaters would have the airborne radio propagation characteristics without the distance penalty of satellites. Although the algorithms are complex, they are already being investigated under DARPA sponsorship.

As the examples above and the data in Table 7-2 indicate, communications will set the energy requirements on the future digitized battlefield. Thus, the

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

information architecture can profoundly affect the amount of energy consumed by the dismounted soldier. In the simplest form, a 100-word report in a standard Army format requires fewer than 2,000 bits if it is transmitted as text or 144,000 bits if it is transmitted as digitized speech (Table 7-4). A color still picture can require up to 250,000 bits, and a 10-second color video clip can require up to 74 million bits. Because the amount of information in bits required to report a given situation varies by almost five orders of magnitude, the battlefield information architecture must be coupled with the radio transmission architecture to determine actual energy requirements for the dismounted soldier.

PARADIGM SHIFTS

From the considerations described above, it is clear that the Army will not be able to achieve its vision of providing the soldier with situational awareness entirely and that energy sources alone will not account for all of the limitations on dismounted soldier capabilities. Energy sufficiency through the use of energy-efficient technologies, on the other hand, is achievable from a purely technological standpoint by 2015 and could become reality for the Army After Next.

The committee is not optimistic that the Army will be able to make the necessary fundamental changes to exploit the expanding base of advanced technologies, particularly as communication requirements increase. But unless the Army takes steps to maximize the energy efficiency of portable electronic systems for the dismounted soldier, the performance of these systems will continue to be limited by the available energy sources, and the Army's advantage in battle will be jeopardized. Paradigm shifts in energy philosophy, system design, and the use of commercial technology are needed to change the way military electronic systems are defined, developed, and purchased. Otherwise, the crisis will not be resolved.

Energy Strategy

The Army must focus on energy consuming systems as well as on energy supplying systems. Energy is a vital, depletable resource that must be carefully budgeted and conserved during every aspect of the definition, design, development, and procurement of portable electronics. Energy translates directly into weight, bulk, stealth, and data-handling characteristics, all of which are quantifiable in common terms. Understanding the equivalence of energy and other system measures can allow the Army to be more flexible in defining requirements.

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×

System Design

Energy efficiency must be considered in all phases of system design:

  • Equipment development and procurement procedures must set tight energy consumption budgets for all elements of future electronic systems, including hardware, software, and packaging. A philosophy widely used in industry is that all equipment must be designed to achieve target battery life goals. The battery problem will not be solved by inventing better batteries; it will only be solved by rigorous power management design procedures.

  • Design procedures must include software. Future systems will have increasing levels of software-defined functionality, and the operation of the software could override power saving features built into the hardware. The perception that software functions are "free" must be changed—every cycle a computer operates to execute a program consumes energy from the energy source. Thus, software must be subjected to the same rigorous power management design procedures that guide hardware development.

  • Energy consumption learning curves should be used in the specification, development, and procurement of electronic systems. In other words, the energy consumption budget allocated to specific functions should be changed over time in accordance with industry learning curves. Thus, according to the data in Figure 7-1 and the discussions based on Table 7-2, a programmable DSP system should be specified to operate at no greater than 1 mW/MIPS for systems developed for 2001. The goal should be lowered to 0.01 mW/MIPS for systems developed for 2015. Setting specific goals will motivate contractors to face the trade-offs necessary for developing dedicated architectures.

  • Consistent with using learning curves to move toward lower energy consumption, designs should move towards lower operating voltages. This is especially important for digital functions (Chapter 5), and industry is driving digital operating voltages towards the 1 V level. Standard operating voltages can be established for categories of electronic functions, and these voltages can be lowered with time.  

Use of Commercial Technology

Army systems must be closely coupled to the technologies used in commercial products. This is the only way to guarantee that the Army can keep pace with and benefit from commercial advances:

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
  • Very large scale ASIC (application-specific integrated circuit) technologies are being used in commercial products to implement low power systems on a chip. Interchip connections consume increasing levels of power as the system clock rate is increased, and chip-scale systems integration will be essential to achieving low power operation in the future. Also, custom integration is frequently the only way low power system operation can be achieved because many low voltage functions are not available as stand-alone components.

  • Traditional suppliers of military electronics, even though they may be associated with consumer electronics organizations, are often isolated from the advances in products and technologies that the Army needs for low power, high performance portable electronic systems. In many large companies, military and commercial products are developed, produced, and marketed by different business units with very different goals and objectives. Evidence of this is that a major switch in emphasis to low power electronics as a solution to the battery life problem in consumer electronics began in earnest in the early 1990s (see Chapter 5). Major improvements in the energy efficiency of electronics are now being made in response to commercial market incentives.  

Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 131
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 132
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 133
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 134
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 135
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 136
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 137
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 138
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 139
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 140
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 141
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 142
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 143
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 144
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 145
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 146
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 147
Suggested Citation:"7 Advanced Concepts." National Research Council. 1997. Energy-Efficient Technologies for the Dismounted Soldier. Washington, DC: The National Academies Press. doi: 10.17226/5905.
×
Page 148
Next: 8 Research Objectives »
Energy-Efficient Technologies for the Dismounted Soldier Get This Book
×
Buy Paperback | $75.00 Buy Ebook | $59.99
MyNAP members save 10% online.
Login or Register to save!
Download Free PDF

This book documents electric power requirements for the dismounted soldier on future Army battlefields, describes advanced energy concepts, and provides an integrated assessment of technologies likely to affect limitations and needs in the future. It surveys technologies associated with both supply and demand including: energy sources and systems; low power electronics and design; communications, computers, displays, and sensors; and networks, protocols, and operations. Advanced concepts discussed are predicated on continued development by the Army of soldier systems similar to the Land Warrior system on which the committee bases its projections on energy use. Finally, the volume proposes twenty research objectives to achieve energy goals in the 2025 time frame.

  1. ×

    Welcome to OpenBook!

    You're looking at OpenBook, NAP.edu's online reading room since 1999. Based on feedback from you, our users, we've made some improvements that make it easier than ever to read thousands of publications on our website.

    Do you want to take a quick tour of the OpenBook's features?

    No Thanks Take a Tour »
  2. ×

    Show this book's table of contents, where you can jump to any chapter by name.

    « Back Next »
  3. ×

    ...or use these buttons to go back to the previous chapter or skip to the next one.

    « Back Next »
  4. ×

    Jump up to the previous page or down to the next one. Also, you can type in a page number and press Enter to go directly to that page in the book.

    « Back Next »
  5. ×

    Switch between the Original Pages, where you can read the report as it appeared in print, and Text Pages for the web version, where you can highlight and search the text.

    « Back Next »
  6. ×

    To search the entire text of this book, type in your search term here and press Enter.

    « Back Next »
  7. ×

    Share a link to this book page on your preferred social network or via email.

    « Back Next »
  8. ×

    View our suggested citation for this chapter.

    « Back Next »
  9. ×

    Ready to take your reading offline? Click here to buy this book in print or download it as a free PDF, if available.

    « Back Next »
Stay Connected!