National Academies Press: OpenBook
« Previous: The State of the Laboratories
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

2

Electronics and Electrical Engineering Laboratory

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

PANEL MEMBERS

Ralph K. Cavin III, Semiconductor Research Corporation, Chair

Lori S. Nye, MEMC Electronic Materials, Inc., Vice Chair

Robert A. Buhrman, Cornell University

Jack H. Corley, Advanced Technology Institute

Jerome J. Cuomo, North Carolina State University

Russell D. Dupuis, University of Texas at Austin

Thomas J. Gramila, Ohio State University

Waguih Ishak, Agilent Laboratories

Donald B. Keck, Corning, Inc.

Tingye Li, AT&T Research (retired)

Solomon Max, LTX Corporation

Robert C. McDonald, Intel Corporation (retired)

Bruce Melson, GE Aircraft Engines

Alton D. Patton, Associated Power Analysts, Inc.

Ghery S. Pettit, Intel Corporation

Robert E. Rottmayer, Seagate Technologies

Robert E. Schwall, American Superconductor Corporation

Carlton E. Speck, Delphi Energy and Engine Management Systems

Dennis E. Speliotis, ADE Technologies, Inc.

Peter W. Staecker, Consultant, Lexington, Massachusetts

John A. Wehrmeyer, Eastman Kodak Company

Donald L. Wollesen, Advanced Micro Devices, Inc. (retired)

Submitted for the panel by its Chair, Ralph K. Cavin III, and its Vice Chair, Lori S. Nye, this assessment of the fiscal year 2000 activities of the Electronics and Electrical Engineering Laboratory is based on site visits by individual panel members, a formal meeting of the panel on February 17-18, 2000, in Boulder, Colorado, and documents provided by the laboratory.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

LABORATORY-LEVEL REVIEW

Laboratory Mission

According to laboratory documentation, the mission of the Electronics and Electrical Engineering Laboratory (EEEL) is to promote U.S. economic growth by providing measurement capability of high impact focused primarily on the critical needs of the U.S. electronics and electrical industries and their customers and suppliers.

This mission is consistent with the mission of the National Institute of Standards and Technology (NIST) and provides an appropriate expression of the laboratory's goals; the programs under way are consistent with this mission. The EEEL continues to emphasize cross-disciplinary programs to better meet the complex needs of its customers. In today 's environment, the measurement capabilities required by the electronics industry often cannot be achieved without combining the technical expertise traditionally found in electrical engineering, physics, and chemistry. To meet current and future metrology needs, NIST will require programs that cross the historical boundaries of laboratory disciplines. It is unfortunate that funding has not yet come through for the Office of Optoelectronics Programs; this lack of support will limit EEEL's ability to coordinate work across NIST to aggressively address the metrology challenges faced by the rapidly growing optoelectronics industry.

Technical Merit and Appropriateness of Work

The EEEL contains a broad array of projects relevant to the needs of the U.S. electronics and electrical technology industries. Overall, the panel is impressed that the laboratory staff continue to engage in projects at a high level of technical excellence. In the Electricity Division, the work to provide standards and to develop innovative new measurement techniques is first class; examples include the effective utilization of the calculable capacitor to realize the SI (International System of Units) farad and ohm and the research on the use of single electron tunneling to measure current in collaboration with the Electromagnetic Technology Division. In the Radio-Frequency Technology Division, the advances in statistical electromagnetic modeling of field distributions in reverberation chambers are allowing staff to validate the use of these chambers as an alternative method of electromagnetic compatibility (EMC) testing; the new approach will help keep test times reasonable over an increasing range of frequencies. In the Optoelectronics Division, the work on multimode differential mode delay (DMD) measurements for multigigabit local area networks (LANs) is a timely response to an industry working group request. These are but a few examples of the many important activities under way in EEEL that support the scientific and technological base needed for effective and relevant metrology. More detailed discussions of laboratory projects of high technical merit are provided in the divisional assessments.

Impact of Programs

The EEEL employs many mechanisms to disseminate technical results of the laboratory programs to relevant scientific and industrial communities. Staff members publish in refereed journals, attend conferences, organize workshops, host guest researchers, participate in roadmap development, and are active in international standards activities. The laboratory's programs on the development and production of Standard Reference Materials (SRMs) and the EEEL's performance of calibration services are thriving; these efforts have an immediate impact on the U.S. economy through the value provided to the laboratory's industrial customers. One example of the effects of EEEL's projects is the approximately

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

$58 million of direct and indirect benefits estimated to be due to the Semiconductor Electronics Division's work on insulated gate bipolar transistor (IGBT) modeling and characterization for power semiconductor devices. The value of the laboratory's measurement development work can be seen in the recent licensing of the energy-dispersive microcalorimeter x-ray detector from the Electromagnetic Technology Division to two outside companies. This advanced method for materials detection and analysis should soon be available to and utilized by industry. Many other projects make important contributions to EEEL's support of U.S. industry; examples are provided in the divisional assessments.

The panel was impressed by the series of “gold books” put out by each division and office detailing its programs, activities, and accomplishments. These publications provide a concise, informative, and extraordinarily useful summary of the goals and achievements of ongoing laboratory projects. The documents were quite helpful to the panel in the course of this assessment and, when posted on the World Wide Web, will be an important cornerstone for laboratory outreach activities. Already, EEEL is increasing its use of the Internet as a tool for information dissemination. For example, the Semiconductor Electronics Division recently established a very good Web site on Hall measurement techniques and practices.

Laboratory Resources

Funding sources for the Electronics and Electrical Engineering Laboratory are shown in Table 2.1. As of January 2000, staffing for the Electronics and Electrical Engineering Laboratory included 259

TABLE 2.1 Sources of Funding for the Electronics and Electrical Engineering Laboratory (in millions of dollars), FY 1997 to FY 2000

Source of Funding

Fiscal Year

1997 (actual)

Fiscal Year

1998 (actual)

Fiscal Year

1999 (actual)

Fiscal Year

2000 (estimated)

NIST-STRS, excluding Competence

31.5

31.5

33.2

33.3

Competence

1.7

2.2

1.9

2.1

ATP

1.3

2.1

1.9

0.9

Measurement Services (SRM production)

0.2

0.1

0.1

0.1

OA/NFG/CRADA

10.7

10.2

10.9

13.3

Other Reimbursable

3.0

2.9

2.7

2.5

Total

48.4

49.0

50.7

52.2

Full-time permanent staff (total)a

324

270

270

259

NOTE: Funding for the NIST Measurement and Standards Laboratories comes from a variety of sources. The laboratories receive appropriations from Congress, known as Scientific and Technical Research and Services (STRS) funding. Competence funding also comes from NIST's congressional appropriations but is allocated by the NIST director's office in multiyear grants for projects that advance NIST's capabilities in new and emerging areas of measurement science. Advanced Technology Program (ATP) funding reflects support from NIST's ATP for work done at the NIST laboratories in collaboration with or in support of ATP projects. Funding to support production of Standard Reference Materials (SRMs) is tied to the use of such products and is classified as Measurement Services. NIST laboratories also receive funding through grants or contracts from other government agencies (OA), from nonfederal government (NFG) agencies, and from industry in the form of Cooperative Research and Development Agreements (CRADAs). All other laboratory funding, including that for Calibration Services, is grouped under “Other Reimbursable.”

a The number of full-time permanent staff is as of January of that fiscal year.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

full-time permanent positions, of which 217 were for technical professionals. There were also 32 nonpermanent and supplemental personnel, such as postdoctoral research associates and part-time workers.

The electrical and electronics industries served by EEEL are characterized by rapid changes in technologies combined with a broadening impact on many sectors of the economy. This fast-paced evolution drives the need for commensurately rapid advances in a broad array of measurement technologies, and it is NIST's task to provide the technical innovations that support the metrology requirements of the affected sectors of U.S. industry. However, the EEEL budget has remained flat in real dollars and has not covered the mandatory cost-of-living salary increases. This lack of growth impedes the laboratory's ability to address its mission of serving the industrial community. The limited available resources likely will force laboratory management to make difficult programmatic decisions that will impact important sectors of the economy. The challenge of determining which work is of the highest priority is complicated by the need to take on a number of high-risk projects with significant potential impact in order to ensure that NIST will be ready to support whatever new technologies industry adopts in the future.

Since January 1999, the number of full-time permanent technical professionals in EEEL has dropped by 12. The relatively flat budgets have had an effect on morale because the staff are feeling increasing pressure to obtain funding from outside agencies to support part of their salaries. The externally supported work is not always in line with the divisional and laboratory missions, and these projects, as well as the time and effort required to secure outside funding, divert the staff's energy from projects central to the NIST mission and create an environment of uncertainty about programs' futures. However, despite these concerns, there is an overwhelmingly positive attitude about working at NIST, due mainly to the significant and challenging nature of the projects under way and the strong relationships between the technical personnel. The staff survey conducted by NIST in 1999, which in fact confirmed that 85 percent of responding employees were proud to work at NIST, was an excellent vehicle for determining what problems are most critical at the laboratory and division levels. The panel believes that management's effort to develop action plans to respond to the more important concerns is appropriate.

There have been a number of changes in the leadership of EEEL recently. In December 1998, the long-time director of the laboratory retired. In July 1999, his successor also retired, and the chief of the Electricity Division is now serving as acting director of the EEEL. Although rapid turnover is not to be encouraged, the panel is pleased to report that the high level of technical quality observed in the past appears to have been maintained throughout this time of transition. In addition, the laboratory-level strategic planning process continues to improve, and the impact of this process on the portfolio of projects can now be seen. The level of implementation of strategic planning is not uniform across all of the divisions, but every group appears to be taking the process seriously. The panel was particularly impressed by the clear definition of quantifiable objectives and deliverables in the Semiconductor Electronics Division.

The quality of EEEL facilities is of continuing concern to the panel. The buildings need basic maintenance, particularly in Boulder. Although the new Advanced Measurement Laboratory (AML) should substantially enhance the EEEL facilities in Gaithersburg, this building will not be completed for about 4 years, and an interim facility improvement plan is necessary to allow the laboratory to continue to meet its mission. The new microelectromechanical systems (MEMS) fabrication facility in the Electromagnetic Technology Division in Boulder is a timely enhancement of EEEL capabilities, but it is equally important that adequate resources be set aside to meet the costs of operating and maintaining the new facility.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

The status of the EEEL's laboratory equipment, while improving, is still significantly below the industry standard (let alone the state of the art) in some areas. Outdated equipment severely impedes the staff's ability to produce the high-quality work expected from the EEEL. The panel suggests that when making requests for funding for capital equipment purchases, staff explicitly spell out the benefits to U.S. industry that would accrue if the laboratory had this hardware as well as the consequences if it did not. In addition to upgrading or replacing current equipment, the laboratory will most likely have to purchase modern equipment for the new Boulder clean room and the AML.

DIVISIONAL REVIEWS

Electricity Division
Division Mission

According to division documentation, the mission of the Electricity Division is to provide the world's most technically advanced and fundamentally sound basis for all electrical measurements in the United States by realizing the SI electrical units, developing improved measurement methods and calibration services, and supporting the measurement and standards infrastructure needed by U.S. industry to develop new products, ensure quality, and compete economically in the world's markets.

The mission statement of the Electricity Division is appropriately focused and defines in concise terms the diverse roles and responsibilities of the division. Programs are conducted in a manner consistent with this mission, and it appears to the panel that over the past few years the division has become increasingly focused on the principal elements listed in the mission. This year, the Electricity Division published a booklet that succinctly describes its goals and accomplishments. 1 This summary documents the division's planning, and the defined objectives and milestones provide a quantifiable measure for evaluation. This information is also a valuable resource to facilitate development of potential cross-laboratory collaborations. The development of a sabbatical program is another promising approach toward promoting cross-disciplinary and cross-divisional efforts. Management needs to pay careful attention to resulting interactions, because varied perceptions of the benefits and difficulties of collaborating with other divisions exist among the staff. Greater cooperation between divisions has the potential to provide considerable benefits both to the groups within NIST and to industry.

Division management has maintained a sensible and balanced approach within difficult budget constraints. It is sensitive to the need to balance the role of existing activities, the technical challenges facing industry and NIST, and the importance of selected development efforts. An example of this last category is the Electronic Kilogram Program. This effort has received the support necessary for development to proceed at a reasonable pace, and the results represent an important achievement for the division. At the same time, long-term expectations for the system's performance are based on sensible estimates of its ultimate accuracy. Innovation necessarily involves taking risks but also requires that management be willing to terminate unsatisfactory projects. The division has demonstrated that it is able to maintain focus and fulfill its mission effectively in these difficult times.

As is demonstrated by the wording of the mission statement, the Electricity Division clearly recognizes that NIST's support of industry should be directed toward U.S. organizations. However, many

1  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Electricity Division: Programs, Activities, and Accomplishments, NISTIR 6431, National Institute of Standards and Technology, Gaithersburg, Md., January 2000.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

companies that utilize the division's services are becoming multinational. Division management is sensitive to the issues raised by the globalization of U.S. industry but also is aware that no simple solution to the implied conflict exists.

As noted in last year's assessment, NIST is well situated to approach complex, multitechnology projects from a systems perspective. Electronic products are becoming more and more complex (e.g., rising gate and component counts, increasing lines of code), forcing industry to go to a higher level of abstraction. Many products also incorporate multiple disciplines—for example, almost every electrical product has mechanical, embedded software and firmware components that heavily impact design, manufacture, and use. The EEEL possesses staff with the diverse expertise needed to meet the challenge of investigating systems-level problems, but a structure is not in place to manage such cross-disciplinary activities. An organization such as an Office for Systems Projects is one possible approach.

Technical Merit and Appropriateness of Work

As in the past, the technical quality of the Electricity Division projects is of uniformly high caliber. All of the projects reviewed by the panel are advancing the state of the art in their respective areas and are consistent with the mission of the division. This excellence spans the range of work under way, from established calibration programs to efforts on the development of new measurement techniques. The division has been very successful at balancing its portfolio of programs by continuing support of established activities while making investments in new approaches and technologies. In established areas, the Electricity Division continues to provide more than 25 percent of the calibrations done at NIST and to improve its capabilities to deliver these services —for example, with the development of a new wideband waveform measurement technique. On the other hand, examples of ongoing projects that aim to develop entirely new techniques include the work on the electronic kilogram and on single electron tunneling (SET). The former project is at an intermediate stage. The soundness of the underlying physical approach has been established, and efforts are currently focused on the technical improvements needed to enable this system to serve as a new standard for mass. The SET effort is at an earlier stage. In this project, the technical challenge is how to use new technologies to update and enhance fundamental electrical metrology. Measurement development efforts such as these have the potential for significant impact and widespread benefit, which have been realized by earlier development work that resulted in new standards for the volt and ohm and hence strengthened the basic foundation of the division. A combination of innovative new work and improvements in established methods is crucial to maintaining the division's position as a world leader in electrical metrology.

A factor that contributes to the value and merit of Electricity Division programs is the emphasis on quality. NIST has always been concerned about ensuring the quality of its measurement services processes and results, but this focus takes on added importance in light of the need to comply with the terms of the mutual recognition agreement (MRA) with the International Committee for Weights and Measures. In the Electricity Division, considerable effort has been spent on determining the best approach to achieve quality assurance, and a system has been developed. This new approach includes a regular review by NIST management of three key elements: (1) technical descriptions of the measurement facility, system, or methods; procedures for conducting the measurements; analysis of the uncertainty of measurement results; and procedures for the statistical control of the measurement process; (2) the competence of the staff; and (3) procedures relating to discrepant results. Owing to the high level of expertise in the Electricity Division, as well as the unique nature of the work conducted at a national measurement institute (NMI), this approach to quality assurance appears to be more efficacious than the more typical systems that could have been imposed on the division. In the opinion of the panel, the

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

emphasis on elements such as the competence of the staff and intercomparisons with other world-class laboratories will maximize the benefits of the quality system, whereas a less-customized approach might have required large quantities of paperwork not useful in day-to-day laboratory operations.

The Electricity Division projects are grouped into five categories: Core Metrology, Systems, Industry-specific, Electronic Design and Manufacturing, and Internal. This year, the panel reviewed 10 of the 17 projects currently under way; comments on each of these efforts follows.

In the area of core metrology, the panel reviewed three projects. The Realization of the SI Farad and Ohm project is distinguished by the effective utilization of the calculable capacitor, an outstanding example of the application of innovative techniques to metrology. This work goes to the core of the division and NIST missions. The project on single electron tunneling (SET) is exciting and challenging because of the many possible applications and potential benefits of this new technology; yet some fundamental barriers remain to be overcome. If the materials problems can be solved, this method appears to be the best possible approach for measuring current. The panel expects work to continue until the currently observed instability is eliminated or until the problem is determined to be fundamentally unsolvable. The array of activities included in the division's work on the Metrology for Electric Power Systems project are of high technical merit, and noteworthy efforts included the development of an optical current transducer in collaboration with industry, new and improved metrology in support of electric utility deregulation, investigation of power quality and safety issues relating to surge protective devices, development of fundamental data for plasma processing, and development of documentary standards. These projects are appropriate and carefully selected, so the panel felt that focus on strategic planning should now be encouraged.

In the systems area, the Measurement for Complex Electronic Systems project focuses on modeling calibration and measurement functions for different instruments. This project is a very good utilization of NIST resources, because little work along these lines is currently being done elsewhere. The group working on the Waveform Acquisition Devices and Standards project has developed a wideband waveform measurement technique that has advanced the state of the art for measurement of sinusoidal waveforms. The technique is currently being modified for higher-accuracy use with lower-frequency waveforms. The techniques used in the Waveform Synthesis and Impedance Metrology project provide a novel approach to AC metrology. This approach is an alternative to the usual AC/DC transfer standards approach, and the new technique may lead to a faster method for making AC measurements. In parallel to this work, other projects also have the potential to improve the speed of making AC measurements while reducing the associated uncertainty of the results. By attacking the problem on multiple fronts, NIST is ensuring that the best solution is found in an area of great importance to industry.

In the group of industry-specific projects, the work on the Flat Panel Display Metrology project is a world-class effort to understand the specifications of flat panel displays. NIST is making a major contribution to the advancement of computer display interfaces, and the plans to increase the precision of the measurements are appropriate. The effort represented by the Plasma Processing and Gaseous Dielectrics project is much needed by the semiconductor industry, and the Electricity Division is an appropriate location for work on characterizing low-temperature discharges in order to facilitate real-time control and predictive modeling of etching and deposition processes.

In the area of electronic design and manufacturing, staff are working with industry to define, demonstrate, and evaluate the information infrastructure standards needed to enable the use of electronic commerce in the design and manufacture of electronic products. The need for and potential payoff from such efforts are evident in the trends and challenges that the electronics industry confronts—increasing complexity of electronics (gate count, number of components, etc.), outsourcing of key facets of design and manufacturing, and decreasing product life spans and associated development cycles. Industry

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

spends a great deal of money on the identification and procurement of components—both for purchasing and for documentation of component properties—and much of the cost of electronic assemblies is related to the component overhead costs. Although the electronic design and manufacturing effort differs from other efforts within the Electricity Division in that it is not metrology-based, the push for standards development will help to make electronic commerce feasible. The division 's work in this area is pushing the state of the art and making good use of virtual collaboration technologies, particularly in the effort on manufacturing. The work on prototypes demonstrates the projects ' utility while forcing the detailed scrutiny of issues related to implementation that is needed to attain tangible results.

The specific focus of NIST work is the delivery of electronic component information for the design of electronic subsystems and printed circuit assemblies. Currently, much of the accumulated data is on the information needed to select components; the panel believes that future efforts should be expanded to include the information needed to analyze designs that incorporate the components. The use of extensible markup language (XML) to define the interchange of information ensures that table-based information can be exchanged easily. This effort should be extended to make it possible for other standards-based information to be included as a named (including standard and version) subelement of the information definition. Possible types of information include electronic design interchange format (EDIF) schematics, three-dimensional standards for the exchange of product model data (STEP) descriptions, and very-high-speed integrated-circuit hardware description language (VHDL) behavioral descriptions. The division's work is fairly narrowly focused, and the panel recognizes that this approach is necessary to give the project a realistic chance for success. However, many electronics testing needs may be accommodated by the same information used in the design analysis stage. Since testing is a key issue in electronics product development, it might be appropriate to extend the perspective of the NIST work. The program now includes investigation of information and activity models for manufacturing electronic commerce, and the panel commends the staff for capitalizing on existing models rather than investing crucial resources in developing new models. This approach could be expanded to include additional industrial models.

The final activity in the Electricity Division is the work on the Information System to Support Calibrations (ISSC), an internal NIST project. This system is designed to standardize and automatically track the many calibration jobs under way in NIST laboratories. In fiscal year 2000, all of the divisions at NIST that perform calibrations will implement the ISSC for their work. This system uses state-of-the-art techniques to automate the transfer of information, which makes NIST staff more effective and productive. As security systems in use on the Internet improve, NIST calibration customers will be able to use the Internet to access data on the status and results of their job. The ISSC is therefore an excellent mechanism for improving the interactions between NIST and its customers. EEEL and the Electricity Division are to be commended for developing and implementing this capability. However, now that the ISSC is well established, perhaps maintenance of the system should be handed off to a NIST-level group.

In general, given the limited resources available to the Electricity Division, attention should always be focused on determining the optimal time to transfer projects to other units or institutions. The accelerating rate of technological advances and the continuing constraints on budget and personnel will force the division to reject a growing number of worthy projects. Partnerships with industry, other government and academic laboratories, and other NMIs would be one way to meet the demand for division services and research activities. Strategic plans for how to hand off services, where practical, are also important. To ease these sorts of transitions, division management might begin to investigate the elasticity of prices charged to NIST customers. Information about the economic returns might encourage private industry to take over some of the calibration functions. Characterization of the time-accuracy trade-off involved in each NIST measurement activity and a tabulation of how NIST measurement

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

capabilities compare with those of international providers of similar services would also help management make decisions about services and provide important background information to companies considering taking over the services.

Impact of Programs

The impact of the Electricity Division is illustrated by the continuing use of its calibration services (which account for more than 25 percent of NIST calibrations), by the solid levels of outside support for its programs, and by anecdotal comments from industry representatives regarding the need for NIST contributions, such as the standards developed by the Infrastructure for Integrated Electronic Design Program. In addition, the value of U.S. representation on the groups that formulate international standards is significant, and standards committee activities should not be neglected even under the severe budgetary constraints currently experienced in EEEL.

The Electricity Division's results are disseminated through a variety of mechanisms, including an impressive number of publications by staff across the division. The ways in which the actual and potential impact of the results will be felt vary from project to project; below, the panel discusses several examples of how the division's work will affect industry.

In the projects under core metrology, the focus is on meeting industry 's measurement and standards requirements. The group working on the Realization of the SI Farad and Ohm project is filling industry' s need for an internationally recognized and reproducible standard for the measurement of passive components. The SET project, if successful, could radically change the current definition of the ampere and significantly enhance the ability of the laboratory to support industry. The technical work being done on the Metrology for Electric Power Systems project has the potential to benefit both industry and society, and the staff are continually interacting and cooperating with companies to lay the groundwork for future impact. Also, the development of international and national documentary standards may play a role in enabling open and fair international trade.

In the systems area, the Measurement for Complex Electronic Systems Group has run workshops to disseminate its methods throughout the electronics industry. The effort could have significant impact if adequately supported. NIST appears to have a policy of not funding the participation in standards writing groups of retired employees who have been rehired as consultants, and the panel believes that this rule is impeding the progress of work in this area. The services provided by the Waveform Acquisition Devices and Standards Group are utilized by other government agencies and are vital for comparing the performance of various instruments developed by industry. The focus of the Waveform Synthesis and Impedance Metrology Group is on speeding up existing measurements by developing new and innovative techniques. The advances at NIST satisfy industry's desire to make appropriately precise measurements as quickly as possible.

In the industry-specific projects, the Flat Panel Display Metrology Group has published a document describing the appropriate procedures for testing flat panel displays. The work done so far has had significant industrial impact; perhaps the group could now reach out for funding from industry. The staff involved in the Plasma Processing and Gaseous Dielectrics project have made an effort to interact with appropriate representatives and organizations to ensure that important benefits are realized from this activity. Although the focus of this group is unique within the Electricity Division, there are strong connections with relevant semiconductor scientists within and outside NIST.

In the electronic design and manufacturing area, there is extensive use of partnerships with standards development organizations, industry, and academia. The clear progress that has been made in standards for electronic exchange of information likely would not have occurred without NIST's

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

involvement. The Infrastructure for Integrated Electronic Design project is encountering competing standards efforts for the definition of component information. The ability to translate between these competing standards has been demonstrated using XML, and it is important for NIST to continue to accommodate all perspectives. The cooperative agreements with the Silicon Integration Initiative for work on electronic component information exchange and with the Institute of Interconnecting and Packaging Electronic Circuits (IPC), as well as the substantial involvement in International Electrotechnical Commission (IEC) Technical Committee 93 (Design Automation), are an important part of the effort and help to ensure industry involvement. The division should consider entering into more agreements of this type; work on standards such as VHDL, EDIF, and STEP could be very useful, and interactions with the associated standards organizations could be quite productive. In the Infrastructure for Integrated Electronic Manufacturing project, cooperative agreements with the National Electronics Manufacturing Initiative and the IPC Generic Computer-Aided Manufacturing (GenCAM) project provide a good foundation for interactions with industry. Also, the cooperative efforts with NIST's Information Technology Laboratory, Manufacturing Engineering Laboratory, and Manufacturing Extension Partnership extend the reach of the project. The open standards architecture approach is important because both interface and framework standards are needed to pave the way for commercial realization of electronic commerce for electronics manufacturing. NIST's plans include development of a roadmap for standards development activities. The panel believes that if it is coordinated with companies throughout the U.S. electronics industry, the roadmap could be of significant value.

Division Resources

Funding sources for the Electricity Division are shown in Table 2.2. As of January 2000, staffing for the Electricity Division included 63 full-time permanent positions, of which 57 were for technical professionals. There were also 11 nonpermanent and supplemental personnel, such as postdoctoral research associates and part-time workers.

The most serious problem the laboratory faces is a level of financial support that is shrinking if inflation is taken into account. This reduction could compromise the quality and impact of the work done in the Electricity Division, since the organization is already operating at the minimally acceptable

TABLE 2.2 Sources of Funding for the Electricity Division (in millions of dollars), FY 1997 to FY 2000

Source of Funding

Fiscal Year

1997 (actual)

Fiscal Year

1998 (actual)

Fiscal Year

1999 (actual)

Fiscal Year

2000 (estimated)

NIST-STRS, excluding Competence

7.4

7.8

7.8

7.7

Competence

0.7

1.1

0.9

0.5

ATP

0.4

0.3

0.3

0.1

OA/NFG/CRADA

1.5

1.5

1.3

2.4

Other Reimbursable

1.3

1.1

1.2

1.1

Total

11.3

11.8

11.5

11.8

Full-time permanent staff (total)a

76

70

65

63

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

a The number of full-time permanent staff is as of January of that fiscal year.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

level of staff and facilities. If the budget remains flat, the division may have to discontinue some services. Reduced funding has already affected resources to the extent that program development in some areas has been inhibited. An example is the decision not to maintain access to state-of-the-art thin film and device fabrication capabilities. This choice may be well justified by the significant expense involved, but the Electricity Division should investigate mechanisms for meeting the clear and important need for such resources. Perhaps the division should consider having a staff member focus on obtaining access to these capabilities from an organization with the needed facilities.

In general, the Electricity Division may have to reconsider how it meets the capital equipment needs of its scientists. Most new electronics manufacturing and testing equipment is extremely expensive and has a very short time during which it is state of the art. The maintenance of such equipment requires financial resources and staff time that are already in short supply. When costly instruments have been purchased, resulting inertia associated with matching projects to the equipment makes it more difficult for the division to change direction in response to new developments in industry. Given these drawbacks and taking into account the advances in virtual collaboration technology that make it possible to work effectively with people and equipment from a distance, the division should seriously consider looking to industry and academia for the use of their capital equipment and reserving construction or purchase of equipment at NIST for times when the equipment is crucial and access is not available through partnerships.

The physical facilities are showing signs of age. There are plans to move the Electricity Division's most environmentally sensitive measurements to the new Advanced Measurement Laboratory under construction in Gaithersburg, but this building will not be finished for at least 4 years. The declining quality of the facilities will increasingly limit the laboratory's ability to do state-of-the-art metrology and could also lead to safety concerns such as overcrowded work areas. Although the morale of the staff is generally high, poor facilities may eventually erode their pride in working at NIST and have negative long-term effects on the quality of their work.

The computing power available to the staff must be upgraded continually to keep pace with the equipment used in industry. Communication speeds regularly escalate and NIST must provide improved channel capacity and the appropriate software. The division should continue to avoid standardizing on a specific hardware platform, and interfaces between various platforms should be examined regularly for compatibility. Staying aware of the latest computer hardware and software developments should be an ongoing effort.

The quality of the staff in the Electricity Division continues to be impressive. An example can be seen in the Realization of the SI Farad and Ohm project, which is staffed by a staff scientist relatively new to NIST yet competent and very dedicated to the work. With some of the more senior staff approaching retirement age, it is encouraging to see such qualified individuals joining the organization. A concern of the panel is that the leaders of both projects in the electronic design and manufacturing area are on loan to the Department of Commerce. While the remainder of the staff in this area have risen to the challenge, it is a hardship for the division to be without experienced and talented personnel for a significant period of time, especially when hiring replacements is not an option.

Semiconductor Electronics Division
Division Mission

According to division documentation, the mission of the Semiconductor Electronics Division is to provide technical leadership in research and development of the semiconductor measurement infrastructure essential to silicon and other advanced semiconductor technology needs.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

The panel considers this mission statement to be appropriate and notes that the division's programs are in conformance with the EEEL and NIST missions. Through its involvement with industry, mainstream roadmapping activities, and associated working groups, the Semiconductor Electronics Division has successfully identified the needs of the semiconductor industry to which the unique capabilities of NIST can be applied most effectively. The mission statement includes appropriate support for critical semiconductor needs outside the International Technology Roadmap for Semiconductors (ITRS),2 originally the National Technology Roadmap for Semiconductors. The division serves as a leader for industry and is therefore required to be proactive in the development of state-of-the-art measurements to meet future industry needs.

The balance of work in the Semiconductor Electronics Division between silicon and compound semiconductors accurately reflects the relative magnitude and importance of these two technologies in the marketplace. The United States has regained its lead in semiconductor technology and is now dominant in compound semiconductor manufacture for commercial and military applications. NIST leadership on enabling state-of-the-art measurement technologies and providing standards has played and will continue to play a critical role in this success. Division staff are clearly aware of the needs of and future opportunities for U.S. industry, as can be seen in the division's careful prioritization and well-thought-out planning process. The number of important and relevant potential projects for this division far exceeds the amount of work that can be supported by the available resources, so the focus has been placed on key high-priority programs and their execution. The division is effectively reallocating resources from programs that have reached an end or are nearing completion; good examples include the redeployments of work within the Metrology for Simulation and Computer-Aided Design project and within the critical dimension (CD) measurement standards effort. Overall, the division is doing an excellent job of addressing the mission within the constraints of existing resources.

There is clear evidence that the strategic planning process implemented by the Semiconductor Electronics Division is showing a measurable benefit in the choice of project activity and focus. The strategic planning process includes continuous improvement through examining and updating project priorities and specific milestones. Deliverables are now much more clearly defined, and there is better quantification of stated objectives and deliverables. Staff are clearly aware of the importance of addressing the needs of end customers in setting goals, milestones, and priorities. The relevance of each project to industry is well understood, and the project results noted in the next section highlight the success of this approach. The division has established an excellent array of customer contacts, and the panel suggests that these connections could be used to assist in setting more quantifiable milestones at the outset of programs and also eventually to verify that objectives have been met in actual impacts at the user level. More quantitative forecasts of program outcomes not only will aid in program management and customer understanding but also are a requirement for the successful justification of capital equipment and support resources.

Technical Merit and Appropriateness of Work

The Semiconductor Electronics Division is divided into three groups: Materials Technology, Device Technology, and Integrated Circuit (IC) Technology. The technical quality of the work done in this division is very high, and the projects in each of these groups are discussed in detail below.

2  

Semiconductor Industry Association, International Technology Roadmap for Semiconductors, Semiconductor Industry Association, San Jose, Calif., 1999.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

In the Materials Technology Group, the goals of the Metrology for Compound Semiconductor Manufacturing project are aligned with the needs of the III-V gallium arsenide (GaAs) compound semiconductor manufacturing community. The facilities for measurements and for preparation of structures for standards are outstanding. Staff collaborate with personnel across NIST on relevant projects. The work is performed carefully and at the state of the art (e.g., the in situ x-ray emission probe for measuring the composition of ternary semiconductors during growth). Work on measuring the true wafer temperature during processing has demonstrated the importance of this information to successful device manufacturing. The development and implementation of the diffuse reflectance spectrometer (DRS) is an outstanding example of how investigation of the basic issues underlying a problem can result in the identification of an effective solution. The Hall round-robin is addressing the difficulties that GaAs manufacturers have in achieving global consensus on measurements. The thrust of the III-V semiconductor activities in this project should be expanded beyond GaAs to address other important industry needs—for example, the III-V nitrides are in desperate need of techniques for process control. The potential economic impact of new technologies in this area has been projected to be tens of billions of dollars, but for NIST to affect the industry, the groundwork must be laid now in the Semiconductor Electronics Division. A collaboration on other III-V materials such as the nitrides between division staff with expertise in compound semiconductor manufacturing and Optoelectronics Division personnel could bring this emerging area into focus.

In the Thin Film Process Metrology project, the goal is to support metrology for complementary metal oxide semiconductor (CMOS) technology. In keeping with the ITRS, the major focus is on requirements for advanced gate dielectrics, with some work on achieving optical models that correlate with electrical measurements. NIST's customers need to monitor, understand, and control oxide thicknesses of less than 2.0 nm and will require improved capabilities in thickness measurement, composition analysis, interface definition, and dielectric property measurements. This project is clearly in the planning stages, and milestones have been set based on the predicted demand for these competencies. NIST researchers are aware that the spectroscopic ellipsometer, the current tool of choice, may not be able to meet industry's requirements; hence staff are in the process of modifying the tool and the associated software to expand its capabilities.

In the Materials Technology Group's Scanning Probe Microscope Metrology project, NIST is using a commercial platform to develop scanning capacitance microscopy (SCM) as a state-of-the-art tool for two-dimensional dopant profiling of semiconductor devices. The division's expertise in interpreting SCM images is being disseminated via the NIST-developed FASTC2D software, which is now installed routinely on commercial instruments.

In the Device Technology Group, the staff working on microelectromechanical systems (MEMS) have developed a methodology to measure interconnect stress in partially or fully processed ICs using MEMS structures created during standard CMOS processing. The effort on developing a drop-in method for the integration of microreactive elements into plastic microfluidics systems could lead to a lab-on-a-chip capability. The staff working on the Metrology for Simulation and Computer-Aided Design (CAD) project have developed an IGBT CAD program that allows automatic extraction of key model parameters for power ICs. The IGBT reliability task has been completed and efforts redeployed to quantum mechanical simulator benchmarking.

Two tasks on semiconductor packaging within the Device Technology Group are being partially funded by the Office of Microelectronics Programs: one on high-speed thermal image measurements of microelectronic structures and the other on bond pad temperature measurements. Work in the first area is motivated by semiconductor device designers ' need to optimize the heat flow path to reduce chip operating temperatures. This improves chip reliability and enables the silicon area to be utilized more

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

efficiently. Measuring the temperature of the chip accurately is important, and the NIST work on infrared (IR) detection and on the use of MEMS test structures is providing helpful progress in this area. In the second area, the staff from the Assembly and Packaging focus area and the MEMS project are collaborating on the development of bond pad temperature sensors using MEMS structures.

In the IC Technology Group, the Linewidth and Overlay Standards for Nanometer Metrology project has successfully focused on developing state-of-the-art CD measurement standards using silicon-on-insulator materials and structures. Representative structures are calibrated using atomic lattice spacing measurements in transmission electron microscopy cross-sectional imaging. Other devices on the same wafers can then be calibrated rapidly against the measured structures by electrical resistivity measurements. Using this technique, numerous low-cost calibrated structures can be manufactured with traceability to atomic-level spacing. Industry is very pleased about this new calibration tool, and both the measurement methodology and the method for manufacturing the standards are now being transferred to a commercial supplier to enable high-volume production of the standards for industrial use.

The Dielectric and Interconnect Reliability Metrology project is directed toward reliability characterization for ultrathin gate silicon oxide (SiO2) films, alternate gate dielectrics, and copper interconnect. As SiO2 gate dielectrics decrease below 4 nm in size, they exhibit increasing levels of band-to-band tunneling (leakage). This phenomenon impedes the use of traditional methods of characterizing gate oxide reliability and is forcing scientists to find new test methods to ensure transistor reliability. The band-to-band tunneling also limits the thickness to which SiO2 gate dielectrics reliably may be fabricated—the general belief is that 1.5 to 2.0 nm is the material limit possible using CMOS. Work done in the Semiconductor Electronics Division has been valuable and effective in developing new reliability models and increasing fundamental understanding of the breakdown phenomena. NIST's independence from commercial activity, and the resulting lack of bias, is a very important asset for producing reliability measurement standards that can be agreed to and adopted by all of industry.

Supplementing this work to understand the behavior of ultrathin gate SiO2 films, the search is on for alternative materials or systems with high dielectric constants. While candidates have been proposed, none has ever been demonstrated to be worthy of production as a metal oxide semiconductor field effect transistor gate dielectric, even in a research environment. The ITRS forecasts eventual use of these materials, but much information remains to be gathered before a satisfactory replacement for SiO2 can be chosen. The division's effort to develop measurement technologies to accurately characterize alternative dielectric materials is timely, and this work is crucial to the effort to identify and manufacture a reliable successor gate material.

Integrated circuits with copper interconnect are now being widely adopted. The advantage of copper over aluminum is that copper has higher bulk conductivity and lower bulk electromigration than aluminum; the disadvantage is that copper exhibits surface electromigration while aluminum does not. In the past, the Semiconductor Electronics Division played a key role in aluminum metallization reliability studies; now the division must develop new industry standards for measurement structures and methods to allow thorough characterization of the bulk and surface electromigration properties of copper. The time frame in which industry needs these techniques is very short, and current staffing levels may be too low for NIST to meet the demand on industry's schedule.

Finally, the panel would like to comment on the division's overall response to last year's recommendation that more work was needed in basic science in order to provide for future measurement needs in a timely fashion. The panel was pleased to observe some positive changes in the division 's programs, although still more could be done. Most of the projects are targeted at very specific industrial needs, but within these, a few excellent examples of basic science can be seen, including the work on CD measurement calibration by atomic lattice spacing and on scanning capacitance methods for two-dimensional

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

dopant profiling. To prepare for longer-term ITRS and compound semiconductor needs, this growing emphasis on basic science needs to continue. Also, the division should focus on developing NIST's infrastructure in parallel with the technological advances in industry. This evolution of the division's capabilities is critical to maintaining NIST's position at the state of the art or as the best in the world and to delivering measurement methods and standards to industry proactively.

Impact of Programs

The panel commends the division for its extensive interactions with individual companies, industry consortia, national laboratories, professional societies, and standards committees, especially those of the Joint Electron Device Engineering Council (JEDEC) and the American Society for Testing and Materials (ASTM). Successful collaborations with commercial metrology suppliers, such as VLSI Standards Inc. and Digital Instruments, are resulting in efficient and cost-effective industry-wide proliferation of NIST methods, software, and standards while minimizing the burden on division resources. Perhaps other NIST divisions should consider this model for standards and methods transfer.

The Semiconductor Electronics Division and the NIST Office of Microelectronic Programs have played a key role in the international Semiconductor Manufacturing Technology consortium (SEMATECH) and the Semiconductor Industry Association (SIA) metrology working groups that set the metrology requirements spelled out in the ITRS. Division staff continue to participate and take the lead in the industry standards committees that are focused on generating new and better measurement methods in critical areas. A good example of NIST's role is this year's leadership of the JEDEC committee responsible for determining gate oxide reliability measurement. Division staff are closely linked to the industry in other ways as well. A key staff member from the Device Technology Group was on assignment at the Semiconductor Research Corporation (SRC) for 2 years. He returned to NIST in January 2000, and his experience at SRC in cooperative work with university centers of excellence on metrology programs will be valuable to the division in setting program directions and executing projects.

The panel was particularly impressed by the utility of the new booklet describing the division's programs, activities, and accomplishments. 3 This brochure, once it is placed on the division's Web site, will be an excellent single-source communication vehicle for widely disseminating mission statements and the goals and status of NIST projects to industry and to other laboratories. If posted electronically, this document could also be used to rapidly circulate updates about progress and new results.

The division uses the Internet effectively to disseminate information and software tools. This approach allows potential customers easy access to NIST products and results while greatly reducing the burden on NIST support resources. The Web site is also being used to gauge customer interest in projects by counting hits on the Web pages. The division's current use of the Web is commendable— an outstanding example is this year's establishment of a Web page on Hall measurement techniques and practices—and the panel encourages continued expansion of the division's Web site. It is important to be able to effectively disseminate the latest information and developments throughout industry, reaching down to the wafer fabrication engineer and technician while they are at work in the clean room.

The Semiconductor Electronics Division has initiated and organized a series of excellent meetings, the International Conferences on Characterization and Metrology for Ultralarge-Scale Integration (ULSI) Technology. The first conference was held in 1995 and the second in 1998. The third was a well

3  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Semiconductor Electronics Division: Programs, Activities, and Accomplishments, NISTIR 6430, National Institute of Standards and Technology, Gaithersburg, Md., January 2000.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

attended event in Gaithersburg in June 2000, and efforts are already under way for a fourth conference, to be held in the spring of 2001. Hard-bound proceedings of these conferences have been published by the American Institute of Physics and are regarded as the ultimate reference books on the latest developments in semiconductor metrology and as thorough reviews of state-of-the-art methods. In the Materials Technology Group, staff were key in the development of the 1999 Materials Research Society Conference on ultrathin and high-dielectric-constant materials for ULSI dielectrics and were responsible for editing and publishing the proceedings, which the community has found useful.

An example of the magnitude of the effect that the Semiconductor Electronics Division's projects can have on industry can be seen in the now-concluding work on an IGBT CAD program in the Device Technology Group. This program allows automatic extraction of key model parameters for power ICs, and several companies have reported that this system greatly enhances their ability to optimize devices. An economic impact study of this project estimated that industry received $18 million in direct savings and $40 million in indirect savings, resulting in a benefit-to-cost ratio of 23:1 for the NIST effort.4

The leader of the Thin Film Process Metrology project won a NIST Bronze Medal for superior federal service for the second time in his career at NIST. He was recognized for developing the artifact SRMs and associated test methods that provide the base for resistivity measurements throughout the U.S. semiconductor industry. These SRMs are used by all U.S. manufacturers of silicon semiconductor devices and by nearly all of the world's silicon wafer suppliers and semiconductor manufacturers as a basis for specifying the quality and properties of silicon materials. This work has led to the sale of more than 2,300 SRM units to more than 250 companies worldwide.

Overall, the panel observes that the division is supporting a broad array of projects that are relevant to the needs of industry and that the traditional challenges in metrology identified by the ITRS are being effectively addressed. The division staff appear to be spending an appropriate amount of time networking in industry forums, including actively participating in consortia, working groups, and committees. These activities enable division personnel to effectively disseminate information about NIST capabilities as well as to quickly and efficiently deploy NIST products. At this time, the division has one active Cooperative Research and Development Agreement (CRADA). It may be that government restrictions enacted over the past few years on the use of CRADAs have greatly reduced the utility of this vehicle. All relevant CRADA activity in the Semiconductor Electronics Division appears to have evolved into successful industry partnerships, so the panel does not believe that the decrease in the number of CRADAs is any cause for concern. In fact, there are many significant and effective interactions with industry; all of the extensive collaborations, dissemination, networking, and leadership efforts described in this section have substantially enhanced the division's activities and facilitated the adoption of the latest measurement methods and standards by industry.

Division Resources

Funding sources for the Semiconductor Electronics Division are shown in Table 2.3. As of January 2000, staffing for the Semiconductor Electronics Division included 39 full-time permanent positions, of which 31 were for technical professionals. There were also six nonpermanent and supplemental personnel, such as postdoctoral research associates and part-time workers.

The quality of personnel in the division is very high and morale is good. The panel strongly

4  

Research Triangle Institute for the National Institute of Standards and Technology, Planning Report 99-3, Benefit Analysis of IGBT Power Device Simulation Modeling, National Institute of Standards and Technology, Gaithersburg, Md., April 1999, available online at <http://www.nist.gov/director/planning/strategicplanning.htm#eval>.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

TABLE 2.3 Sources of Funding for the Semiconductor Electronics Division (in millions of dollars), FY 1997 to FY 2000

Source of Funding

Fiscal Year

1997 (actual)

Fiscal Year

1998 (actual)

Fiscal Year

1999 (actual)

Fiscal Year

2000 (estimated)

NIST-STRS, excluding Competence

7.4

7.4

7.7

7.4

Competence

0.3

0.3

0.1

0.2

ATP

0.3

0.6

0.6

0.3

OA/NFG/CRADA

0.4

0.2

0.2

0.2

Other Reimbursable

0.0

0.1

0.1

0.0

Total

8.4

8.6

8.7

8.1

Full-time permanent staff (total)a

52

43

45

39

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

a The number of full-time permanent staff is as of January of that fiscal year.

commends the Semiconductor Electronics Division for its active and successful recruitment of senior technical specialists from industry and outstanding students from universities. However, the budget for the division is flat or declining, resulting this year in a reduction in force—since January 1999, the number of technical professionals has dropped from 38 to 31, a significant decline. At the same time, demand for the division's services, research, and participation in standards committees is accelerating, and the staff have responded with excellent productivity despite reduced resources. New methodologies for transferring tools and standards to industry have increased the impact of NIST efforts and minimized the costs of ongoing support.

In order to effectively support Office of Microelectronics Programs projects now within the Semiconductor Electronics Division, resources have been diverted from efforts to secure funding from other government agencies. External funding for the division is at 2 percent of the total budget. NIST-level management has stated that the goal is for the Measurement and Standards Laboratories to have 20 percent on average of their budget provided by outside sources, and the Semiconductor Electronics Division is planning to solicit more external funding. However the panel recommends that division management carefully monitor this process to ensure that the excellent progress occurring on existing programs is not slowed or diverted by this effort. Any new external funding and added project milestones should align very closely with ongoing work in order to avoid resource dilution.

In semiconductor manufacturing, the devices and processes involved are becoming more and more technologically complex. This and the rapidly shrinking feature size are pushing measurement and standards needs beyond the state of the art in many critical areas. There is also a growing push toward new materials, and it is crucial to have measurement technologies to characterize these new materials and well-defined industry-wide standards for the measurement of their properties. The ITRS lists a number of “red brick walls,” areas in which it is not clear how industry will meet the predicted critical technology requirements. In metrology, the NIST Semiconductor Electronics Division will be an essential player in overcoming these barriers. Unfortunately, the division does not appear to have the capital equipment or human resources necessary to fulfill its mission of supporting the measurement infrastructure required by the semiconductor industry and listed in the ITRS. It is important for the laboratory and division management to work closely with the technical staff to understand and docu

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

ment the ways in which NIST infrastructure and capabilities are falling behind industry and the potential consequences of these shortfalls.

The Semiconductor Electronics Division has been very effective at leveraging its scarce resources by partnering with other organizations within NIST, at other government laboratories, and in industry. There are successful collaborations with other NIST laboratories, and the panel emphasizes that the strong relationships between the Semiconductor Electronics Division and the Office of Microelectronics Programs allows NIST to work effectively with industry to develop the required technology base and measurement infrastructure for semiconductor design, manufacturing, and use. The division has successfully utilized the processing and measurement capabilities at other national laboratories and in industry to compensate at least partly for NIST's aging infrastructure and thus enable NIST staff to continue to execute the division mission satisfactorily. The clean room and other facilities planned for the new Advanced Measurement Laboratory are essential for NIST to be able to support the exacting future metrology needs that have been identified by and for the semiconductor industry. The new building presents the division with an opportunity to add important new state-of-the-art processing capabilities as well as needed state-of-the-art measurement tools, both inside and outside the clean room area. Additional increases in operating budget will be required to provide the personnel and service contracts necessary to keep the new facility and its equipment operational. Resource and support benchmarks with similar industry facilities have to be completed to verify that the planned staffing and support needs are adequate.

Radio-Frequency Technology Division
Division Mission

According to division documentation, the mission of the Radio-Frequency Technology Division is to enhance industrial competitiveness by providing national metrology resources for the measurement of the electromagnetic properties of components, materials, systems, and environments throughout the radio spectrum.

This mission statement reflects the EEEL and NIST missions through the shared focus on promoting the economic growth of the U.S. electronics industry. At this time, industry is changing rapidly, so the division should continue to actively seek external input through interactive workshops and other industrial interactions to help define the direction of future NIST programs. Frequent communication with industry leaders will help keep the Radio-Frequency Technology Division informed about industry needs and focused on the key metrology tasks. This interaction with industry could provide the information necessary to formulate a strategic plan for the division. A strategic plan describing current goals and projected critical issues for the next 5 years would serve as a good tool for the budget planning process, as well as for prioritizing programs in a changing environment.

In 1998, the Radio-Frequency Technology Division changed its name and reorganized its internal structure. The new organization continues to be effective, and the panel was particularly impressed to observe the flexibility of the staff, who effectively switch activities when required by changes in the technical direction or financial status of their current projects. Successful inter- and intralaboratory collaboration is also evident. Examples include the work on correlation of reverberation chamber readings with directional measurements, done with the U.S. Navy, several auto makers, and a manufacturer of shielded enclosures, and the effort on nose-to-nose calibration of fast oscilloscopes done with the Optoelectronics and Electricity Divisions in EEEL and the Statistical Engineering Division of the Information Technology Laboratory.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Technical Merit and Appropriateness of Work

The Radio-Frequency Technology Division continues to make progress on aligning its projects with the needs of the telecommunications and wireless markets; several new programs are quite impressive. The calibration services, including the division's activities in microwave electrical measurements, new transfer standards for radio-frequency (RF) power measurement, antenna measurement, and anechoic chamber certifications, are also critical to industry. The division's work is grouped into six program areas: Fundamental Microwave Quantities, High-Speed Microelectronics, Wireless Systems, Electromagnetic Properties of Materials, Antennas and Antenna Systems, and Electromagnetic Compatibility. Below, the panel comments on a few of the 14 projects under way within these programs.

In the Fundamental Microwave Quantities Program, NIST staff provide an assortment of core measurement services in power, voltage, impedance, scattering parameters, and noise over a variety of frequency ranges between 10 kHz and 110 GHz. The panel continues to be concerned that the limited number of staff and technicians assigned to the power standards area may be slowing progress in this area. Some relief has been provided by the automation of measurement techniques, which has introduced efficiency to some traditionally labor-intensive activities. The effort on scattering parameters and impedance continues to provide needed support for traceability of microwave vector measurements within the microwave and millimeter-wave community. In work on noise standards, the focus is on two traditional areas—connectorized noise temperature measurements from 1 to 75 GHz for waveguide sources and from 1 to 50 GHz in systems using coaxial connectors and amplifier noise figure measurements from 1 to 18 GHz—and one newer area—development of on-wafer noise measurement methods. A new noise-figure radiometer is under development and will be relevant to all three areas of interest. This device is designed to be capable of measuring one-port noise temperature or two-port amplifier noise figure and is expected to provide significantly faster measurements than existing radiometers. In the 8- to 12-GHz frequency range, the automated system for this new coaxial radiometer has already been implemented, and measurement productivity in this range has been improved by a factor of 10. Unfortunately, progress in on-wafer noise measurement has been essentially halted since the departure of a guest researcher in 1998. The panel believes that this area is appropriate for NIST involvement and that work should be resumed as soon as staff availability permits.

The High-Speed Microelectronics Program is responsible for organizing and producing results of interest to the NIST industrial monolithic microwave integrated circuit (MMIC) consortium. Through this organization, NIST staff provide innovative, appropriate, and timely support to the microwave and millimeter-wave government and commercial communities. Much of the research that has been performed on four-port measurements and asymmetric line characterization has now been successfully transferred to the public domain, mainly via the World Wide Web, and this information is widely used by the high-speed packaging community. The division is considering terminating this project, but it should be taken into account that the expertise developed by NIST researchers in precise high-speed calibration and characterization methods might be useful to serve a silicon digital user base much wider than the present GaAs community.

The National Wireless Electronics Systems Testbed (N-WEST) activity was formed to support and unify the broadband wireless communications industry, and the panel has observed significant accomplishments over the project's short lifetime. To enable effective measurement of the progress, however, its direction and goals should be more clearly defined. A major achievement of this activity has been to reduce to two the number of competing proposals for broadband wireless access standards. However, the panel is very concerned that there is no blueprint in place for construction of the testbed needed to evaluate the competing proposals. The budget, schedule, and resource requirements for the testbed have

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

not even been identified yet. There are other valuable activities under way; staff are leading the Institute of Electrical and Electronics Engineers (IEEE) committee that is working on identifying a standard for air interface for systems. The division's Nonlinear Device Characterization project has produced a timely report on the passive intermodulation measurement results required by the wireless industry. Additional efforts in this area will produce nonlinear device and component models to be used for the testbed.

In the Antenna Measurement Theory and Application project, the focus is on the planar and nonplanar near-field measurement techniques needed by government and industry. Current capabilities are satisfactory for frequencies below 75 GHz, but the division has received—but could not meet— requests for 11 antenna characterizations above 75 GHz. The ultimate goal is to extend the measurement techniques and services through 500 GHz. Milestones defined by the division along this path include 110 GHz by 2001 and 170 GHz by 2002, but the panel is not sure that these goals are achievable.

In the Emission and Immunity Metrology Group, the reverberation chamber research is using advances in statistical modeling of electromagnetic field distributions in these chambers to meet important milestones for validating and documenting the potential value of reverberation chamber technology for electromagnetic compatibility (EMC) testing. Authoritative NIST publications correlate the results obtained from present EMC measurement techniques with the results obtained in reverberation chambers, thus paving the way for industry to write new standards and adopt new practices. The progress of the past year is illustrated by the prominent role of division staff on standards committees, and the panel expects the leadership of this group to help generate new industry standards within the next 2 years. Staff are also working on correlating measurements between the reverberation chamber and NIST's anechoic chamber. The next step, connecting the reverberation chamber results to readings taken at the open-area test site (OATS), is critical to enabling widespread acceptance of using reverberation chamber data to show compliance with international electromagnetic interference (EMI) regulations.

Impact of Programs

The Radio-Frequency Technology Division affects industry in a variety of ways. The calibration programs have an immediate impact on industry and Department of Defense agencies; for example, the near-field scanning techniques developed at NIST are now used in facilities worldwide. The value of these traditional NIST measurement activities should not be taken for granted, and the panel commends the EEEL for publicly recognizing at the panel meeting the contributions of the technical personnel involved in calibrations. Consortia activities are also an effective way for the division to interact with industry. The NIST MMIC consortium responds to near-term needs of the industrial partners and generates standards that will eventually find widespread use in industry. The N-WEST activity is designed to improve U.S. competitiveness by facilitating industry consensus leading to standards on issues surrounding wideband millimeter-wave communications.

The work on correlating EMC testing results from reverberation chambers with those from OATS facilities has the potential to provide great benefits to industry. Using current test methods for EMC emissions in the 1- to 40-GHz range, test time is expected to grow exponentially as the frequency range covered increases. NIST's work with reverberation chambers offers the potential of making this only a linear increase as well as of significantly reducing individual test times. This added efficiency should result in large savings by reducing the costs of performing radiated emissions testing of information technology equipment, particularly as processor clock speeds rise. Results of the work in this area should be published in journals such as the Transactions of the IEEE EMC Society or as papers at the annual IEEE International Symposium on EMC. These results should also be used by the U.S. National

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

Committee to the IEC-International Special Committee on Radio Interference (CISPR), Subcommittees A and G, to influence changes to CISPR Publications 16 and 22 to allow the use of reverberation chambers worldwide and by the American National Standards Institute (ANSI) C63 to change ANSI C63.4 to allow the use of these chambers in the United States when testing to Federal Communications Commission Part 15 limits.

The division is to be congratulated on the recent publication comprehensively describing the capabilities, milestones, and project progress of the programs throughout the Radio-Frequency Technology Division. 5 This information may be readily transported to the World Wide Web, where it can be updated easily on an annual basis to disseminate NIST results and goals to a broad audience of present and potential customers. The panel emphasizes that efforts to improve communication with industry and increase interactions with the beneficiaries of the measurement technologies developed at NIST should continue. It is disappointing that the results from the 1998 EMC workshop still have not been published, but the panel was glad to learn that the information gathered is being used in support of traditional calibration and characterization activities. The workshop results should be distributed and could be used for planning further industry feedback sessions.

Division Resources

Funding sources for the Radio-Frequency Technology Division are shown in Table 2.4. As of January 2000, staffing for the Radio-Frequency Technology Division included 57 full-time permanent positions, of which 51 were for technical professionals. There was also one nonpermanent or supplemental person.

The budget compression caused by mandated raises in salary outstripping increases in funding is making it increasingly difficult to maintain critical programs while implementing new efforts. At current staff levels, pressures to do more with less support will adversely affect efficiency and morale, especially in the traditional areas of calibration and characterization. Within the Fundamental Microwave Quantities Program, the number of people working on voltage and impedance measurements has been reduced, and the staff are concerned that they may not be able to train new people before existing personnel retire. Network analysis and measurements personnel also have significant concerns about decreasing staff levels; here, the limitations are impeding their ability to push the state of the art, to respond to customers, and to support routine calibrations. The division needs to resist pressures to further reduce staff in order to ensure that industry and Department of Defense metrology needs continue to be met. As mentioned last year, this division has many senior personnel, so attention and resources have to be directed at plans for training, mentoring, and retaining key staff.

The Radio-Frequency Technology Division is making progress on necessary facility upgrades, although more remains to be done. A new anechoic chamber is now finished. A new roof for Wing 6 is finally in place, but the noise standards and measurements laboratory was decimated by leaks and the resulting delays in equipment upgrades; a full year 's worth of work has to be made up. Many of the museum-quality computers observed in previous panel visits have been replaced, but several still are used for some applications. While the temporary hardware cloth surface for the existing ground screen that was installed in the OATS facility last year appears to be functioning adequately, a permanent

5  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Radio-Frequency Technology Division: Programs, Activities, and Accomplishments, NISTIR 5092, National Institute of Standards and Technology, Gaithersburg, Md., January 2000.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

TABLE 2.4 Sources of Funding for the Radio-Frequency Technology Division (in millions of dollars), FY 1997 to FY 2000

Source of Funding

Fiscal Year

1997 (actual)

Fiscal Year

1998 (actual)

Fiscal Year

1999 (actual)

Fiscal Year

2000 (estimated)

NIST-STRS, excluding Competence

5.2

5.2

6.1

6.2

Competence

0.3

0.3

0.4

0.4

ATP

0.2

0.2

0.0

0.0

Measurement Services (SRM production)

0.1

0.0

0.0

0.0

OA/NFG/CRADA

2.0

2.0

1.7

2.1

Other Reimbursable

1.2

1.3

1.0

1.0

Total

9.0

9.0

9.2

9.7

Full-time permanent staff (total)a

70

61

56

57

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

a The number of full-time permanent staff is as of January of that fiscal year.

stainless steel ground plane is needed to make the quality of the NIST facility comparable to that of similar facilities in the United States and overseas. However, any new ground plane may only be a short-term solution, because increasing local RF interference sources will eventually compromise the effectiveness of the present site. The use of a neighboring NIST-owned RF quiet zone for these measurements in the future is strongly advised, because this land provides an opportunity to build a world-class OATS while significantly reducing the ambient RF signals that interfere with measurements at the existing site. Construction of an entirely new facility would also enable the installation of a larger ground plane, which would further enhance the accuracy and uncertainty of measurements and antenna calibrations.

National Oceanic and Atmospheric Administration (NOAA) staff have vacated Building 24 in Boulder, and the Radio-Frequency Technology Division now has an opportunity to consolidate all of the division 's free-field laboratories and personnel (e.g., the equipment and people on the seven projects within the Antennas and Antenna Systems and the Electromagnetic Compatibility disciplines) under one roof. This unification will foster interactions and collaborations among these staff members and will increase efficiency in meeting customer and internal research needs. However, problems with Building 24 do exist. Vibration due to road construction next to the facility, and later from the resulting road traffic, will negatively affect the uncertainty levels for high-gigahertz, near-field antenna pattern measurements. Shortcomings in the air conditioning and fire suppression systems also compromise the facility's ability to support test work.

Electromagnetic Technology Division
Division Mission

According to division documentation, the mission of the Electromagnetic Technology Division is to develop and promote advanced standards and measurement methods for the electronics, superconductor, and magnetic data storage industries and their related scientific communities; to employ phenomena

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

based on superconductivity, cryoelectronics, and magnetics to create new measurement technology and associated standards and apparatus; to advance the state of the art by basic research and development of requisite materials, fabrication techniques, instrumentation, underlying theory, and data for metrology; to use the unique properties of superconductors and cryogenic electronics to invent and improve measurement methods for electromagnetic signals ranging from static voltages and magnetic fields, through audio, microwave, infrared, visible, and x-ray frequencies; to lead the international community in setting standards for measurement of superconductor parameters; to provide the metrology infrastructure needed for the industrial development and application of superconductors, both large and small scale; to provide new measurement methods, instrumentation, imaging and characterization tools, and standards in support of the magnetics industry; to develop measurement technology to determine basic properties of magnetic materials and structures with support from theoretical studies and modeling; and to collaborate with the magnetic recording industry in the development of metrology to support future recording heads and media with their ever-increasing data density.

This mission statement is very specific. It needs to be broadened to show how the work of the division will contribute to the NIST mission of strengthening the U.S. economy and improving the quality of life. The programs under way generally conform well to the divisional mission. The difficulty is that many good programs fall under the mission statement—more than the division has the resources to pursue. This situation forces the division to continually reconsider existing programs and to carefully evaluate new opportunities. In the present environment of flat funding, it is necessary to make trade-offs between the basic research work and the efforts on development of physical standards and participation in international standards activities. The easiest path in this situation may be to pursue those projects best suited to the skills and interests of the excellent technical staff and to concentrate, perhaps more than is appropriate, on projects that are likely to result in other-agency funding. Unfortunately, this approach can leave unaddressed some activities, such as the development and dissemination of physical standards, that are less intellectually stimulating but crucial to the laboratory's mission. The panel acknowledges that the pressure on programmatic choices is increasing as resources contract and suggests that a more formal prioritization of projects, including a list of “must-do” activities and scheduled termination dates for each project, is now necessary. The division of course must make its own determination of priorities based on the NIST, EEEL, and divisional missions and on the needs of industry, but the panel suggests that the following activities are worthy of inclusion in the must-do category:

  • Development and dissemination of flux standards for magnetometry;

  • Development and dissemination of magnetic standards for magnetic media;

  • Maintaining, as the representative of NIST, leadership in the development of standards for commercial superconductors; and

  • Completion of the valuable work started on head and disk metrology through round-robin sample exchange and production of a report for dissemination of the results.

Technical Merit and Appropriateness of Work

The Electromagnetic Technology Division is doing high-quality work across the board, and in several areas, staff are setting the worldwide standard for their field. For the most part, the work is appropriate for NIST, but, as mentioned above, the challenge is to focus on those activities that provide the most value. The division is working in three program areas: Metrology for Magnetic Data Storage,

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

Metrology for the Superconductivity Industry, and Metrological Applications of Cold Electronics.6 Nine individual projects support these programs, and the panel comments on each project below.

In the Magnetic Recording Metrology project, the nanoscale static recording system reflects excellent work, and NIST technology is more advanced than the testers available to most of the recording industry. This system will provide a very valuable tool for understanding high-density and high-data-rate recording systems; other promising applications are for forensic investigations and low-amplitude current sensing. The study of magnetic properties of interfaces such as iron (Fe) on gadolinium (Gd) is good fundamental science, but the goal of this work and its relationship to the mission of the division should be clarified. The magnetics work throughout the division should also build closer collaborations with the related magnetics efforts in Gaithersburg in order to utilize NIST's scarce resources most efficiently.

In the Magnetic Instruments and Materials Characterization project, the work on magnetodynamic measurements continues to be world-class, and the division is ahead of most industry efforts. The vectorial second-harmonic magneto-optic Kerr-effect (SHMOKE) technique should prove to be very valuable in understanding the switching behavior of magnetic materials, and the observation of two spin wave modes in Permalloy is a good example of the power of this technique. The modeling capability is also outstanding and has played an important role in the group's success. In the future, SHMOKE measurements should be extended to more materials of current interest in magnetic recording. Work should also be initiated on establishing measurement capability for magnetostriction of thin film materials for magnetic random access memory (MRAM) and giant magnetoresistant heads. Overall, this group has positioned itself particularly well to play a leadership role in developing new metrology tools for future micro- and nanomagnetic information and magnetic sensor technologies and to partner effectively with industrial research and development groups in developing such technologies.

The Nanoprobe Imaging for Magnetic Technology project is off to a good start on using MEMS technology to make small cantilevers for magnetometry. The work is quite promising for the measurement of magnetic anisotropy using ferromagnetic resonance, because it has the potential to measure devices on the nanometer scale. In the future, this work should be augmented by utilizing previous NIST work on magnetic field measurement using electron spin resonance.

The facilities developed in the Superconductor Interfaces and Electrical Transport project for measurement of the electromechanical properties of technical superconductors have made NIST the preeminent source for electromechanical data for the past decade, and the group is likely to remain in this position. The current and field measurement capabilities are unique. The focus of NIST work has shifted appropriately over time as superconductor technology has evolved from A-15 materials to high-temperature superconductors (Bi-Sr-Ca-Cu-O and Y-Ba-Cu-O). The nature of the measurements has also shifted from simple tensile strain to transverse compressive strain and cyclic fatigue.

In the Superconductor Standards and Technology project, the facilities and techniques developed for high-current, variable-temperature measurements of superconducting critical current are unique capabilities within the United States and perhaps throughout the world. Each year, this group seems to perform a specific measurement service that is key for a major international project. This year the measurements on the superconducting wires for the Large Hadron Collider stand out. The panel notes, however, that while the measurements on specific materials for companies and other government

6  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Electromagnetic Technology Division: Programs, Activities, and Accomplishments, NISTIR 5089, National Institute of Standards and Technology, Gaithersburg, Md., January 2000.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

agencies are valuable, the lasting impact of this project will be the development of facilities and techniques that can be replicated and used in industry and at the DOE's national laboratories. The division's work on superconducting standards remains key to the long-term success of U.S. corporations in this emerging industry, and continued leadership by NIST is essential as the industry matures.

The Josephson Array Development project builds very nicely on prior success in developing a stable programmable DC voltage standard. The existing standard continues to be the best in the world, and the main focus is now on extending the voltage range of the programmable standard up to ±10 V and on developing an arbitrary waveform synthesizer for both AC and DC that will function over a very wide voltage and frequency range (from DC to 20 GHz). Good progress has been made on the initial steps of this waveform synthesizer project, but the ultimate goal is quite ambitious, and success will most likely require a major breakthrough in Josephson junction technology. The lumped array approach for high-voltage, high-frequency applications has many attractive aspects. If the goals for this project can be successfully realized, then this technology will have a major impact in both metrology —and much more broadly—in the emerging field of using superconducting active circuits for high-performance microwave electronics.

The Nanoscale Cryoelectronics project contains work on single-electron devices and microcalorimeter-based detectors. It is gratifying that the staff appear to be well attuned to the possible end uses of the technologies developed at NIST and are knowledgeable about what capabilities will be relevant for the final applications. For example, the realization that enhanced count rate is more valuable than increased energy resolution for applying the microcalorimeter to materials science measurements has resulted in an interesting program on detector arrays. In other areas, the application of the microcalorimeter to mass spectroscopy of very high mass molecules appears to be progressing a bit more slowly than anticipated. The panel urges that this project be brought expeditiously to the point where a decision can be made either to take the technology to commercialization or to terminate the effort.

The work on single-electron devices continues to be one of the most impressive activities in the division. Staff have developed a unique capability—the fabrication of submicron-scale superconducting devices —and are now successfully applying this capability to a broad range of problems. As a result, this group is one of the world leaders in the development of practical single-electron circuit technology. In collaboration with the Electricity Division, the Electromagnetic Technology Division's development of a new capacitance standard using single-electron tunneling is progressing at a reasonable rate; the panel hopes that next year's panel will be able to hear a comparison between the new standard and the calculable capacitor used currently. The group is also working on a “single-photon turnstile.” This effort is a collaboration with the Semiconductor Electronics and Optoelectronics Divisions and, if successful, could lead to an array of applications using this new technology for photon manipulation.

In the High-Performance Sensors, Infrared Detectors, and Mixers project, the work on ultralow-power IR radiometry and on the cryogenic thermal transfer standard continue to be of high quality. The concealed weapons detection system is an interesting and potentially valuable application of the technology. The nano-metal-insulator metal diode work appears to be progressing well and could lead to a quite interesting device for high-efficiency generation of solar power.

The effort on measurement and characterization techniques in support of the development of high-temperature superconductors for electronic applications remains a very important component of the nation's work on this still-emerging technology. The studies of superconducting transmission lines and related materials are well designed and could have a major impact on the field. It now appears that the first significant commercial application of these specialized types of electronics will come in the wireless communication industry, and NIST is well positioned to facilitate and contribute to industry's development work in this area. The MEMS ion trap work is a nice extension of this group's device

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

capabilities to a new research technology. Overall, the division's work in this field could have substantial impact on standards and on quantum computing research.

Impact of Programs

The activities of the Electromagnetic Technology Division affect a variety of industries, researchers, and other government organizations. Staff utilize many different mechanisms for disseminating NIST results, from publications to active participation in standards committees. Below, the panel discusses examples of the specific impacts of the programs under way in this division.

Within the Metrology for Magnetic Data Storage Program, the development of standards is an important core responsibility of the division. These standards are critical to moving magnetic data storage and other magnetic media industries forward. Changes in the technology have resulted in a real need for a new generation of physical reference standards; for example, disk drives and MRAM are now using new thin film materials such as high-moment head materials, new multilayer materials, and multilayers and new alloys in thin film media both longitudinal and perpendicular. Tapes and credit cards have evolved into using higher-coercivity materials, such as barium ferrite, which require new standards especially from the standpoint of media interchange. The division needs to devise a comprehensive plan for the development, duplication, and distribution of these new standards. Collaboration with industry and with industrial organizations such as the National Storage Industry Consortium and the International Disk Drive Equipment and Materials Association would be useful for setting priorities, defining the standards, and disseminating new techniques. Perhaps commercial firms could be used for the actual manufacturing and circulation of these standards.

The Magnetic Recording Metrology project is to be commended for making the nanoscale static recording system available to the community through an outside instrument maker; perhaps a commercialization effort similar to that realized with the microcalorimeter should be investigated. Encouraging more companies and universities to try the system would be useful, since the community does not yet appreciate the merits of this test approach. A detailed description of the system should be made available so that others may easily duplicate and improve it.

The results of the Superconductor Interfaces and Electrical Transport project have been disseminated effectively through publications, participation in conferences, and presentations at specialized DOE meetings. The group has been reasonably effective at focusing its efforts on the development of innovative measurement techniques and leaving the routine measurements to industry and DOE national laboratories.

As noted in last year's report, the general decline in U.S. companies' support for standards activities has extended to the superconductor industry, as reflected in the termination of standards committee membership by a significant number of industry representatives. The panel expressed concern that NIST funding for this effort might be less than that required to maintain an effective U.S. voice in IEC activities. Although the level of support is still an issue, the panel was impressed by the breadth of standards work accomplished by the Superconductor Standards and Technology project over the past year with only a skeleton staff; these activities continue to be a crucial part of the NIST mission.

In the Nanoscale Cryoelectronics project, the panel was gratified to learn that the NIST-developed microcalorimeter technology has been licensed to two outside companies and that this important advance in materials detection and analysis is expected to be available to industry shortly. Hopefully the lengthy process involved in licensing this technology will be accelerated for the commercialization efforts associated with future products.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Division Resources

Funding sources for the Electromagnetic Technology Division are shown in Table 2.5. As of January 2000, staffing for the Electromagnetic Technology Division included 34 full-time permanent positions, of which 31 were for technical professionals. There were also six nonpermanent and supplemental personnel, such as postdoctoral research associates and part-time workers.

The operating resources available to the division are limited. The combination of flat budgets and mandated cost-of-living increases in salaries has resulted in a situation in which the number of full-time permanent positions in the division has declined by 11 percent since January of 1999. This environment of tight budgets makes it tempting for the division to continually increase its outside funding in order to maintain a constant level of effort. The panel urges that this approach be pursued only in the context of a strategic plan that clearly prioritizes the division's activities and roles. Without such a plan, there is a danger that the technical direction of the division will be too strongly diverted to those areas where money is available rather than to those more clearly aligned with its mission. In magnetics, for example, there is little industrial money available, but significant grants can be gotten from the Defense Advanced Research Projects Agency (DARPA), and this potential source of funds could affect the choice of activities. Redirecting the division's work toward projects that are capable of obtaining external support would not be consistent with the core mission of NIST.

Over the past few years, there have been significant improvements in the facility situation for the Electromagnetic Technology Division, but noteworthy issues still remain. The new clean room in Boulder is a substantial improvement and should definitely have a positive impact on the division's ability to carry out important projects. However, the full benefit can be realized only if funds are found to maintain and upgrade major equipment (e.g., the stepper and the electron beam lithography) that are to be used in this new facility. In addition, the panel observes that the laboratory should arrange for a response team to be on call 7 days a week, 24 hours a day, to handle unexpected situations in the clean room. This support can be implemented by simple methods such as arranging for a pager to be carried on a rotating basis by knowledgeable staff members. This level of care would be standard in any commercial facility of comparable cost and complexity.

TABLE 2.5 Sources of Funding for the Electromagnetic Technology Division (in millions of dollars), FY 1997 to FY 2000

Source of Funding

Fiscal Year

1997 (actual)

Fiscal Year

1998 (actual)

Fiscal Year

1999 (actual)

Fiscal Year

2000 (estimated)

NIST-STRS, excluding Competence

4.5

4.4

4.6

4.6

Competence

0.5

0.5

0.5

0.8

ATP

0.4

0.8

0.4

0.3

OA/NFG/CRADA

2.1

2.1

2.7

3.1

Other Reimbursable

0.1

0.1

0.1

0.1

Total

7.6

7.9

8.3

8.9

Full-time permanent staff (total)a

53

40

38

34

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

a The number of full-time permanent staff is as of January of that fiscal year.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

Consolidation of the division at one location is currently imperative. Several years ago, the division was formed by combining two existing groups. In view of the common skill sets and somewhat overlapping equipment needs, considerable synergy was expected to result from this organizational change. In fact, little synergy has occurred and the groups have remained relatively autonomous. Discussions with the technical staff indicate that this situation is at least partially due to the physical separation, and the movement of NOAA personnel out of Building 24 presents a unique opportunity to consolidate the division's laboratories. However, significant funds will likely be needed for laboratory renovations and related moving expenses. While colocating the division's groups is important, it is equally essential that the already marginal operating budget of the division not be further depleted to pay these one-time moving expenses.

Optoelectronics Division
Division Mission

According to division documentation, the mission of the Optoelectronics Division is to provide the optoelectronics industry and its suppliers and customers with comprehensive and technically advanced measurement capabilities, standards, and traceability to those standards.

This mission is well stated, succinct, and fits logically and completely within the overall NIST and EEEL missions. However, the panel notes that this mission and the division's name do not fully reflect the important role that optoelectronics plays in the information industry. A broader title, such as the “Optoelectronics Information Technologies Division,” accompanied by an increased emphasis on information relevance, should help refocus the mind-set of staff and management and enhance the division's ability to obtain support.

The panel encourages the division to continue strategic analysis of its program portfolio, keeping in mind the need to balance long-versus short-term benefits, project versus competency issues, and responding versus leading modes of operation. Articulation and documentation of this analysis are desirable.

As society advances into the information age, optoelectronics devices and systems, such as those deployed in light-wave communications, image processing, displays, and information storage, will play an increasingly critical role. In fact, the rapid growth (25 to 30 percent per year) of the optoelectronics industry will soon outpace the present capabilities of the Optoelectronics Division. Without an adequate funding increase, some of the noncritical programs will have to be redirected or refocused in order to support the programs that are crucial. This stopgap approach can be effective temporarily, but a less comprehensive portfolio of projects and the shrinkage of research and developmental programs will gradually diminish the prominent role now enjoyed by NIST and impede the progress of the information industry in the United States.

Technical Merit and Appropriateness of Work

The activities of the Optoelectronics Division are divided into four technical areas: sources and detectors, fiber and integrated optics, optical components, and optoelectronic manufacturing.7 The division currently has 10 projects, each with specific goals and objectives, with cross-project teamwork

7  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Optoelectronics Division: Programs, Activities, and Accomplishments, NISTIR 5090, National Institute of Standards and Technology, Gaithersburg, Md., January 2000.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

occurring where appropriate. The division's program is balanced and delivers calibrations and standards to industry while initiating new activities in emerging technology areas.

In the Sources and Detectors Group, the laser radiometry projects continue to provide well-established calibration services to industry for laser power and energy meters and detectors, as well as optical fiber power meters and detectors. Many of this group's capabilities are unique. NIST is the only place in the world that has low-level pulsed laser radiometry, detector frequency response greater than 40 GHz, high-power continuous-wave measurements (500 W at 1.06 nm), optical fiber power measurement with six wavelength and all connector types, and pulsed excimer power measurement at 248 and 193 nm. The work is divided into three areas: continuous-wave laser radiometry, pulsed laser radiometry, and high-speed measurements.

The panel was particularly pleased to learn that demand for the 248-nm power measurement calibrations has risen by a factor of 2, due in part to the increased accuracy of NIST measurements. Recently, staff improved the measurement accuracy at 193 nm to ±1.2 percent via enhancements in beam quality. Another important effort is the push to extend NIST 's capabilities into the even deeper ultraviolet (UV) at 157 nm; this project is noteworthy because the outcome will affect the future of the photolithography community. NIST is the only laboratory working on these UV standards, and the division is following a well-defined plan for advancing from 248 to 193 nm and, eventually, to 157 nm, first with power measurements and later adding birefringence and beam-quality measurements.

Good progress also continues to be made in the work on high-speed measurements. The nose-to-nose process for calibrating oscilloscopes is vital as communication systems increase bandwidth to 40 and 80 gigabits per second. This group is striving to stay ahead of industry needs by extending last year's work on the 1,319-nm heterodyne system from 50 to 110 GHz, and NIST will be able to deliver both frequency response and phase information. Work on optical amplifier measurements of noise figure and relative intensity noise had to be delayed due to budget constraints. The panel continues to believe that work in this area will be important to industry and encourages the group to communicate with the companies in the Telecommunications Industries Association and the Optoelectronics Industry Development Association (OIDA) about the need for and timing of these types of measurements. As optical networks begin to be deployed over the next few years, the need for interoperability of amplifiers and other components will cause the demand for such measurement standards to rise. In the Fiber and Integrated Optics Group, the program outputs of the Optical Fiber Metrology project continue to be world-class; staff have added a significant number of new capabilities this year. The ongoing expansion of the fiber-optic industry is enabled by the critical tools provided by this group, including valuable SRMs for optical fiber coating diameter, fiber cladding diameter, pin gauge standard for ferrules, optical fiber ferrule geometry, polarization-mode dispersion (PMD), and chromatic dispersion standards. The group's work on fiber-mode field diameter is extremely timely for the industry and has recently produced a new SRM. The panel was also pleased to hear about the effort on PMD and the preparation for release of an SRM in this area. Efforts are continuing on improving PMD measurement precision using a modulation phase-shift technique. The goal for the coming year is to increase the resolution from 150 to 30 fs. This measurement is very important for the division's support of the optical components industry.

In the project investigating a nondestructive technique for measuring the zero-dispersion wavelength, staff are using an innovative technique based on four-wave mixing. Although the group is also pursuing work on a technique to measure the slope of the dispersion curve, as suggested in last year's assessment, the panel is not optimistic that the current approach will be successful. The efforts on measurements of erbium-doped fiber amplifier gain and noise have been put on hold since input from industry indicated that no problems currently exist. This issue needs to be continually revisited as

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

optical networking becomes more prevalent, because interoperability of networks will require these measurement standards.

In response to the Gigabit Ethernet Working Group, NIST staff are working on multimode differential mode delay to help identify cross-industry issues regarding laser launch and fiber profiles. This year, NIST 's work on a unique DMD frequency domain technique was extended to define an “encircled flux” methodology that may provide a more stable evaluation of multimode bandwidth. However, the staff also continue to extract information from existing DMD measurements, which—when coupled with measurements of the source angular and spatial output distribution —could allow accurate prediction of multimode bandwidth. It is not clear to the panel that a workable industrial standard will be possible, but the information being gathered certainly highlights the issues.

The panel continues to be enthusiastic about the Integrated Optics Metrology project, also in the Fiber and Integrated Optics Group. This year, the staff's measurement of the refractive index profile for planar optical devices showed that polishing the sample had a dramatic effect on the quality of the measurement. This work should continue with the goal of taking the accuracy from the current level of 4 × 10−5 down to the 10−6 level. It might also be useful to explore measurements for other types of materials, such as polymer planar structures. Efforts toward establishing a planar optics mode field measurement have been suspended, owing to the limited human resources available in the division. In principle, the panel supports the prioritization of activities and the resulting termination of projects but notes that the forced conclusion of work in an area that will become increasingly important highlights the potential negative consequences of NIST's tight budgets.

In the Optical Components Group, the Fiber and Discrete Components project has made an important contribution by establishing wavelength standards for the 1.55-µm window. With the growing number number of wavelength division-multiplexing optical communication systems, such standards are critical for the effective installation and interoperability of these systems. Significant sales continue of the hydrogen cyanide (HCN) SRM for the 1.55-µm window, and the panel was pleased that fabrication of these units has been transferred to an outside organization. Recent efforts have focused successfully on increasing the resolution for this SRM and on developing SRMs for other wavelength bands of interest to industry. In the former effort, gas pressure in the HCN cell has been reduced in order to produce a narrower linewidth; the standard is now capable of 0.1-pm accuracy. In the latter effort, two candidate materials, hydrogen iodide and carbon monoxide, have been identified for use in calibration of the L-band, and staff are currently working with users to define the SRM. Further, a hybrid fiber grating artifact has been developed that can potentially translate the wavelength standards currently available to adjacent regions with an expected uncertainty of less than 1 pm. In the first demonstration of this technique, translation from about 1,549 to 1,303 nm was obtained. This technique could provide a simple fiber-based artifact wavelength standard. This work is complemented by an effort to find other calibration gas standards for the 1,300-nm region (rubidium is the current candidate). This collection of projects on wavelength standards has been extremely well done and is meeting the needs of the optical components industry in a timely manner. The industry will also welcome the group's work on polarization-dependent loss. Development of a self-wavelength calibrated technique has progressed well, and staff recently obtained sufficient data on a segment of polarizing fiber to allow the belief that an SRM for polarization-dependent loss will be available sometime in 2000.

In the Interferometry and Polarimetry (formerly the Optical Fiber Sensors) project, commendable work continues on optical low-coherence interferometry, which is used to characterize many of today's devices. Applications range from component dispersion metrology to UV retardance measurements. The progress toward fast component dispersion measurements has been excellent; procedures take just a few seconds versus hours for alternative methods, and the accuracy has been improved by about an

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

order of magnitude. A round-robin was conducted in which the properties of fiber Bragg gratings (FBGs) were evaluated for both filter bandwidth and dispersion. The faster and more accurate technique developed at NIST should help sort out the wide variation in values obtained and lead toward standard definition and measurement procedures. The panel encourages the group to use its technique to compare dispersion values of FBGs with those of other narrow-band filter components such as thin film filters and array-waveguide grating filters.

The panel applauds the division's rapid progress in the area of optical data storage metrology, an initiative established a few years ago based on industry's input. Important ongoing activities include the round-robin measurements on disc retardance, identification of the sources of measurement errors, and the effort to develop a retardance SRM. The panel encourages NIST to continue work in this field, and as industry moves to short-wavelength sources, efforts should be expanded into other wavelength regimes. NIST should be proactive in accessing the timing of the implementation of high-density digital versatile disc (DVD) systems that employ nitride-based laser sources. It will be critical to the timely introduction of these systems and to the U.S. competitive position to have a standard media and performance knowledge base on which U.S. industries can draw since, at present, this work is being led by Japanese industries.

The Optoelectronic Manufacturing Group is working in three areas: semiconductor growth and devices, optoelectronic materials metrology, and advanced fabrication and modeling. In the first areas, the effort to refine measurement techniques for determining the composition and thickness of compound semiconductor epitaxial layers is valuable, but staff need to be aware of the efforts of the commercial sector so as not to duplicate industrial projects. The work begun last year on measuring the purity of source materials is critical and has the potential to broadly impact compound semiconductor research and manufacturing. The recently initiated program to study and characterize the properties of III-V native oxides is also important and should be expanded to include collaborations with universities or industry and to use the advanced semiconductor analysis tools available in the Semiconductor Electronics Division. Initial work to measure the thickness and optical parameters of GaN-based materials is important and should be continued with close coupling to a university or industrial source of “device-like ” epitaxial films, including alloys of aluminum gallium nitride (AlGaN) and indium gallium nitride (InGaN). It is important to provide “ materials standards” for the alloys since this system is highly strained and the standard techniques of photoluminescence and x-ray diffractometry have to be combined with information on the strain condition of the film. Efforts on tunable lasers and efficient detectors should be redirected to emphasize closer collaborations with university or industrial research organizations that can provide prototype devices for testing the emission characteristics of this approach.

In all cases, this group should continue to make increased use of effective collaborations outside and inside NIST. Specifically, when materials needed for NIST programs can be obtained from collaborators or vendors, staff should take advantage of these opportunities. For example, obtaining GaN samples from university and industry collaborators would seem to be an effective plan for the near term.

Impact of Programs

The division continues to perform outstanding work and to have significant impact on the fiber optics and optoelectronics industries. Notable examples of important division activities include work on chromatic dispersion mapping, development of a polarization-mode dispersion artifact, development of a hybrid fiber grating artifact, production of the absorption cell artifact, and work to extend calibration standards to the L-band, and—of particular value—the multimode DMD measurements for multigigabit LANs. The work on techniques to measure polarization-dependent loss and the round-robin on charac

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

terizing the properties of FBGs are both very relevant for support of the developing lightwave component industry, and the panel commends the division for the importance and timeliness of these projects. The biannual Symposium on Optical Fiber Measurements, sponsored by NIST in cooperation with the IEEE Lasers and Electro-optics Society and the Optical Society of America, continues to provide a forum for discussion of the latest research and serves as an excellent vehicle for communication of NIST results and issues of concern to industry.

Source and detector measurement services are at the core of the division 's mission and are a very visible and effective part of the division 's activities. The establishment of a new service to calibrate 193-nm lasers and the improved accuracy of NIST's facility for this service will be extremely important to the photolithography community. Other notable activities that relate to measurement services include the index profiling of planar waveguides, the work on refractive indices of native oxides, the roundrobin on compound semiconductor composition, the round robin on optical disc retardance measurements, and the work on optical data storage metrology and standards. The effects of these NIST endeavors are beginning to be felt by the division 's industrial customer base.

The panel encourages the division to connect with the optical networking community in order to become familiar with its vision for the future and the emerging needs in measurements and standards. Multivendor interoperability is critically dependent on specifications for the performance of optoelectronic components, and the interplay between systems requirements and standards issues is an appropriate area for NIST involvement.

Division Resources

Funding sources for the Optoelectronics Division are shown in Table 2.6. As of January 2000, staffing for the Optoelectronics Division included 37 full-time permanent positions, of which 32 were for technical professionals. There were also six nonpermanent and supplemental personnel, such as postdoctoral research associates and part-time workers.

Overall, the number of projects per scientist in the division is on the high side. The division continues to make excellent use of contract and postdoctoral researchers to supplement permanent staff

TABLE 2.6 Sources of Funding for the Optoelectronics Division (in millions of dollars), FY 1997 to FY 2000

Source of Funding

Fiscal Year

1997 (actual)

Fiscal Year

1998 (actual)

Fiscal Year

1999 (actual)

Fiscal Year

2000 (estimated)

NIST-STRS, excluding Competence

5.7

5.6

5.6

6.0

Competence

0.0

0.0

0.0

0.2

ATP

0.2

0.2

0.6

0.2

Measurement Services (SRM production)

0.1

0.1

0.1

0.1

OA/NFG/CRADA

1.1

1.2

1.1

1.6

Other Reimbursable

0.3

0.3

0.3

0.3

Total

7.4

7.4

7.7

8.4

Full-time permanent staff (total)a

43

36

37

37

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

a The number of full-time permanent staff is as of January of that fiscal year.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

and maintain a portfolio of important programs. However, the panel is still concerned that the reliance on temporary employees could compromise the division's institutional memory in key areas of expertise.

In the past year, the quality of the equipment available to the division improved significantly in many areas, although there were still some cases in which the instruments are below industrial standards. The panel encourages the division to continue its effort to improve the utilization of laboratory space, particularly by taking advantage of the space recently vacated by NOAA, to consolidate the Optoelectronics Division staff.

The panel was deeply distressed to learn that official funding for the Office of Optoelectronics Programs was not provided by Congress in fiscal year 2000 and has not even been proposed in the President 's fiscal year 2001 budget. This new office is modeled after the Office of Microelectronics Programs and would supplement the work of the existing Optoelectronics Division by providing improved coordination of NIST-wide work in optoelectronics. The office could greatly aid NIST's ability to participate in relevant international standards efforts and might create the resources to enable NIST to respond to the rapid growth of U.S. industry in this field. Several years ago, NIST and the Optoelectronics Industry Development Association (OIDA) held a joint metrology workshop at which industry's measurement needs were laid out, and the priorities for NIST's work in this area have been set based on input from companies in this emerging industry. Currently, NIST staff, in consultation with OIDA, are examining methods for ensuring that the future metrology needs of the optoelectronics industry are met. The Office of Optoelectronics Programs will be a key element of a successful strategy, but without formal fiscal support, the office is marginally operational. A first step toward crystallizing the work of the office might be organizing an industry-government roadmapping exercise to identify the areas of competence that NIST should pursue. Such an exercise should take into account the resources necessary to maintain each competence area.

The panel acknowledges the division's responsiveness to suggestions made in past assessment reports. Examples of positive changes include the formation of the Office of Optoelectronics Programs and recent initiatives in the manufacturing metrology area.

Office of Microelectronics Programs
Office Mission

According to laboratory documentation, the mission of the Office of Microelectronics Programs is to matrix-manage NIST technical activities in support of the silicon semiconductor industry and its infrastructure and to assist NIST management and staff to plan, execute, and deliver results of technical work to semiconductor industry participants.

The panel considers this mission statement to be appropriate. The Office of Microelectronics Programs currently manages a broad portfolio of semiconductor metrology development projects in six NIST Measurements and Standards Laboratories: Electronics and Electrical Engineering, Manufacturing Engineering, Chemical Science and Technology, Physics, Materials Science and Engineering, and Building and Fire Research. The office has successfully identified and funded key programs that can be appropriately and effectively supported by these NIST laboratories. The relationship between the office and the Semiconductor Electronics Division in EEEL is particularly close, and together these units are leading the NIST effort to meet the highest-priority measurement needs of the semiconductor manufacturing industry.

In the ITRS, metrology is identified as a cross-cutting issue of critical importance. In 1994, the Office of Microelectronics Programs was organized as a cross-cutting function specifically to tackle

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

issues of semiconductor metrology by taking advantage of NIST's capabilities in many disciplines. This has proven to be an effective organizational structure, although extra management skills and discipline are required to successfully administer work over so many units. The panel did see examples of projects (such as the work on measuring oxygen in silicon) that are meeting their objectives and being appropriately phased out so that resources can be redeployed. However, the panel also observed that a number of divisions participating in the office 's projects need to make major progress in defining specific program objectives and measurable outcomes; this issue is discussed further in the following section. The Office of Microelectronics Programs has established a working committee, known as the Gang of Five, to provide advice on determining which NIST programs will receive funding from the office. Formation of this committee is an excellent first step, but it could be enhanced by adding members from the customer community to provide a cross-check on priorities and comparative information about the best approaches.

Technical Merit and Appropriateness of Work

The Office of Microelectronics Programs portfolio currently contains 41 projects in six NIST laboratories. Projects are divided into seven areas: in order of descending size, they are wafer characterization and process metrology, interconnect and packaging, critical dimension and overlay, thin film defect characterization, two- and three-dimensional dopant profiling, lithography, and design and test. A number of projects involve personnel from more than one division, which is an excellent way to promote cross-division teamwork and also to utilize the multidisciplinary expertise of NIST to help solve industry's problems.

All of the projects supported and managed by the Office of Microelectronics Programs are carried out in individual NIST divisions and hence are reviewed by the assessment panels that visit each NIST laboratory. However, the panel for the EEEL viewed selected projects specifically from the perspective of the larger goals of the office. Some of the projects are described in the review of the Semiconductor Electronics Division earlier in this chapter. Specific highlights of the Office of Microelectronics Programs portfolio not mentioned in that section include the following:

  • Continued development of the very-high-resolution energy-dispersive microcalorimeter x-ray detector (in the Electromagnetic Technology Division of EEEL);

  • Development of technologies for in situ temperature sensing for rapid thermal processing (in the Chemical Science and Technology and Physics Laboratories);

  • Fundamental ultraviolet measurements for more accurate characterization of optical lithography lens materials (in the Physics Laboratory);

  • Fundamental gas physics measurements designed to facilitate accurate calibration of mass flow controllers (in the Chemical Science and Technology Laboratory);

  • Support of industry and government efforts to eliminate the leaded solders used in most electronic products (in the Materials Science and Engineering Laboratory);

  • Quantitative low-detection-limit IR spectroscopy measurement of oxygen in silicon wafers to address incoming material quality control (in the Semiconductor Electronics Division of the EEEL);

  • Major progress on plasma process modeling and measurement to improve industry in situ process control and manufacturing yields (in the Electronics and Electrical Engineering, Chemical Science and Technology, and Physics Laboratories); and

  • Use of grazing incidence x-ray reflectometry, scattering, diffraction, and fluorescence techniques for state-of-the-art surface and thin film characterization (in the Chemical Science and Technology Laboratory).

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

Each of these projects is well targeted at specific industry needs and does work that is appropriately carried out at NIST for the overall benefit of industry.

There is a great deal of worthwhile, state-of-the-art activity occurring under the management of the Office of Microelectronics Programs, and the results produced are in line with ITRS needs. However, the panel did have several interrelated concerns: (1) the total number of projects is very large, (2) some projects appear to overlap with others, and (3) activities are not well coordinated across divisions (e.g., the Manufacturing Engineering Laboratory's presentation of its overlay and CD metrology efforts). Overall, there seem to be too many projects for the Office of Microelectronics Programs to administer effectively and provide sufficient resources for each one. At least in the selected project reviews, there appeared to be a lack of quantified, objective program goals clearly correlated with the needs of end customers. In the near future, the office should review each of its projects with the goal of specifically requiring the definition of clear and quantifiable milestones and end results that are connected to the impacts expected if the project is successful. Progress milestones should be set to measure progress toward these goals as a function of time.

Once all of the programs have clear statements of objectives and measurable deliverables, the Office of Microelectronics Programs can reprioritize its portfolio and focus on a few key areas in which NIST and the office can have the most effective and appropriate impact. Overlapping programs should be integrated into a single project to ease management burdens, to facilitate coordination within the NIST divisions involved, and to eliminate redundancy. An overall table summarizing the programs would be useful for easier management review and as a tool to communicate the value and range of the office's portfolio. This matrix would include a summary of the most critical deliverables, individual division responsibilities, staffing, progress to date, funding sources, and use of funding.

Because of the current lack of clear deliverables in the project reviews, the panel was not able to determine if all the projects under way were appropriate activities for NIST. Some work appeared to be service work for specific companies: tasks that could be contracted out to commercial laboratories are not consistent with the NIST mission to meet general, high-level industrial needs. Other activities might be done more effectively in an industry pilot program or on a company 's research and development processing line (e.g., the copper metallization studies as currently configured). It is also important to reevaluate ongoing work continually to be sure that investigations of materials systems that are failing to meet mainstream industry needs are rapidly concluded. For example, as understanding of potential alternative gate dielectric materials increases, some alternatives may be eliminated.

Despite the wide array of ongoing activities, the panel did notice one area in which NIST was not taking full advantage of its unique focus on metrology: the use of synchrotron radiation for state-of-the-art analytical measurements. The panel recommends that NIST investigate the use of facilities such as the Stanford Linear Accelerator Center at Stanford University and the Advanced Light Source at the Lawrence Berkeley National Laboratory that have successfully demonstrated the motivation and capabilities needed to work successfully with commercial semiconductor industry companies.

Impact of Programs

The overall effort of the Office of Microelectronics Programs to connect to industry, to comprehend industry needs, and to create measurement technologies responsive to industry's needs is commendable. Program selection and priorities are in line with the requirements forecast by the ITRS, and the cross-divisional activities established by the office effectively utilize the range of expertise and resources available at NIST. NIST staff, in addition to their technical work, participate in SRC, SIA, SEMATECH, industry consortia, and standards committees. These interactions with the semiconductor community

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

provide valuable opportunities to disseminate information about NIST results, facilitate adoption of new technologies, and generate new and better methods to meet critical industry-wide needs. Office of Microelectronics Programs personnel, in addition to managing the portfolio of technical projects, take on key roles in SRC technical advisory boards and SIA roadmap technical working groups.

The use of the Web to make Office of Microelectronics Programs activities and results available to a broader community could be increased. The Semiconductor Electronics Division's approach to setting program milestones and utilizing the Web could serve as a model for other divisions involved in office programs; the office's Web site should serve as a central reference point for the work spread across NIST. The new booklet containing information about the programs, activities, and accomplishments of the office is very impressive.8 If placed on the Web, it could provide at a single location much of the information that is needed to keep the semiconductor community informed about relevant NIST activities. The names of the divisions and laboratories responsible for each project should be added to individual project descriptions; although the matrix listing in the back of the book is useful, it should not require extra effort to determine where the work is occurring at NIST.

The panel observed several specific examples of the impact of Office of Microelectronics Programs work. First, NIST staff recently demonstrated that the high-resolution microcalorimeter x-ray spectrometer could be used to identify particles via chemical bonding information. This capability is beyond the current state of the art, and rapid deployment to industry of this new tool for particle and defect analysis is very important. Second, in the project on thin film and surface characterization using grazing incidence x rays, NIST results are providing new information on films, interface, and surface structure, as well as allowing the development of new state-of-the-art metrology techniques.

Office Resources

The Office of Microelectronics Programs coordinates programs across NIST related to metrology for the semiconductor industry. Individual projects are funded from the STRS allotments of various NIST laboratories, and the total amount supporting the programs administered by the office is listed in Table 2.7. Internal funding is also provided for the operational expenses of the office. As of January 2000, the office had a paid staff of four, three of whom were technical professionals. There were also one part-time guest worker and one part-time support staffer.

Funding administered and used by the Office of Microelectronics Programs is shown in Table 2.7.

As discussed earlier in this review, the number of projects (41) connected to the Office of Microelectronics Programs is very high and may be too large to be effectively managed and supported by the limited number of people and funds within the office. The ultimate goal is funding of $25 million (twice current levels), but until that level of support is reached, the office may have to give up some important and valuable work. The metrology requirements of the semiconductor industry are very demanding, and technologies are advancing rapidly. If NIST is unable to provide the new measurement techniques needed by industry, metrology could become an impediment to the competitiveness of U.S. semiconductor companies.

8  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Office of Microelectronics Programs: Programs, Activities, and Accomplishments, Draft NISTIR, National Institute of Standards and Technology, Gaithersburg, Md., January 2000.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

TABLE 2.7 Funding Administered by the Office of Microelectronics Programs (in millions of dollars), FY 1997 to FY 2000

Source of Funding

Fiscal Year

1997 (actual)

Fiscal Year

1998 (actual)

Fiscal Year

1999 (actual)

Fiscal Year

2000 (estimated)

Funding administered across NIST (STRS)a

10.3

10.3

12.2

12.0

Operational (STRS)

0.7

0.9

1.0

1.1

Full-time permanent staff (total)b

4

4

4

4

a STRS, Scientific and Technical Research and Services.

b The number of full-time permanent staff is as of January of that fiscal year.

Office of Law Enforcement Standards

According to laboratory documentation, the mission of the Office of Law Enforcement Standards (OLES) is to apply science and technology to the needs of the criminal justice community, including law enforcement, corrections, forensic science, and the fire service, and to develop standards, methods, and procedures and provide technical support and assistance.

The panel believes that the current OLES projects—in Weapons and Protective Systems, Detection, Inspection and Enforcement Technologies, Chemical Systems and Materials, Forensic Sciences, and Public Safety Communications Standards9—are of excellent quality and are consistent with the above mission statement. Much OLES research is conducted within the NIST Measurement and Standards Laboratories. Examples include the work on terahertz-wave concealed weapons imaging and detection system development in the Electricity Division of EEEL and development of national DNA SRMs in the Biotechnology Division of the Chemical Science and Technology Laboratory. Although these programs managed by OLES span NIST (like the projects under the Office of Microelectronics Programs), the office is housed administratively in the EEEL. The panel believes that this arrangement is working well. To achieve program goals that cannot be accomplished within the NIST laboratories, OLES arranges grants and contracts to private laboratories, universities, and other government agencies.

OLES is entirely supported by outside agency funding. The primary source of funding is the National Institute of Justice (NIJ), and a small amount of additional money is provided by other agencies such as the National Highway Traffic Safety Administration. As of January 2000, the office had a paid staff of nine, six of whom were technical professionals.

Funding sources for the Office of Law Enforcement Standards are shown in Table 2.8. Several newcomers to the OLES staff are in the process of acquiring the necessary skills to assist in operation of the program, and it appears that mechanisms are in place to ensure continuity of leadership.

Much of OLES testing and research is performed in other NIST laboratories, at other government agencies, or through technical working group collaborations. The only facility operated by the OLES

9  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Office of Law Enforcement Standards: Programs, Activities, and Accomplishments, NISTIR 6432, National Institute of Standards and Technology, Gaithersburg, Md., January 2000.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×

TABLE 2.8 Sources of Funding for the Office of Law Enforcement Standards (in millions of dollars), FY 1997 to FY 2000

Source of Funding

Fiscal Year

1997 (actual)

Fiscal Year

1998 (actual)

Fiscal Year

1999 (actual)

Fiscal Year

2000 (estimated)

National Institute of Justice

2.1

4.9

5.4

8.4

Other agencies

0.1

0.2

0.2

0.4

Total

2.2

5.1

5.6

8.8

Full-time permanent staff (total)a

8

7

9

9

a The number of full-time permanent staff is as of January of that fiscal year.

staff is a ballistics research test facility located on a former NIKE (missile) site near the NIST campus in Gaithersburg. This ballistics test laboratory will eventually be closed as NIST's long range plans for the NIKE site are to return its ownership to the city of Gaithersburg. The panel believes that the loss of the existing facility will severely limit the ability of OLES to continue important programs in weapons and protective systems, such as work on standards for smart guns, gun locks, and the ballistic resistance of body armor, and that a replacement facility is badly needed. Developing contracts on an ongoing basis with other existing ranges is possible for OLES, but this approach could limit program flexibility and impede the office 's ability to respond promptly to the needs of its customers. The NIJ has offered to supplement the funds needed to construct a replacement test site on the NIST campus. Since this type of facility is critical for OLES activities, it is important that EEEL and NIST management quickly develop and implement a plan to ensure that OLES is able to operate or have relatively easy access to a replacement ballistics laboratory.

MAJOR OBSERVATIONS

The panel presents the following major observations:

  • The panel is very impressed by the high quality of the technical work under way in the Electronics and Electrical Engineering Laboratory. The push to be the best in the world is appropriate and is being realized. The gold books listing EEEL programs, activities, and accomplishments provide an excellent description of the laboratory's work for the panel and will be a useful tool for outreach to the scientific and industrial communities served by EEEL.

  • The strategic planning process continues to improve, and the impact of the process on the laboratory's portfolio of programs is beginning to be seen. The process has not been uniformly adopted in all of the divisions, but the panel encourages the serious effort that is being made.

  • The quality of facilities and equipment available to the EEEL is not yet at an acceptable level. There have been improvements in facilities over the past year, but interim plans are needed for Gaithersburg before the AML is complete, as are major building maintenance and improvements for Boulder. Poor environmental conditions and outdated equipment can impede laboratory staff's ability to carry out the NIST mission.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
  • The flat budgets for the EEEL will force management to make difficult decisions about program priorities. The limited resources and the resulting pressure to secure external funding are already affecting morale. Nonetheless, EEEL staff are very enthusiastic about their work and positive about the technical environment at NIST.

  • The Office of Optoelectronics Programs has not been funded. This office is necessary to provide NIST-wide coordination of activities that are important to support the rapidly growing optoelectronics industry.

Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 9
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 10
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 11
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 12
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 13
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 14
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 15
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 16
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 17
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 18
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 19
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 20
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 21
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 22
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 23
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 24
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 25
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 26
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 27
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 28
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 29
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 30
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 31
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 32
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 33
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 34
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 35
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 36
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 37
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 38
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 39
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 40
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 41
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 42
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 43
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 44
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 45
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 46
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 47
Suggested Citation:"Electronics and Electrical Engineering Laboratory." National Research Council. 2000. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000. Washington, DC: The National Academies Press. doi: 10.17226/9979.
×
Page 48
Next: Manufacturing Engineering Laboratory »
An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2000 Get This Book
×
MyNAP members save 10% online.
Login or Register to save!
Download Free PDF
  1. ×

    Welcome to OpenBook!

    You're looking at OpenBook, NAP.edu's online reading room since 1999. Based on feedback from you, our users, we've made some improvements that make it easier than ever to read thousands of publications on our website.

    Do you want to take a quick tour of the OpenBook's features?

    No Thanks Take a Tour »
  2. ×

    Show this book's table of contents, where you can jump to any chapter by name.

    « Back Next »
  3. ×

    ...or use these buttons to go back to the previous chapter or skip to the next one.

    « Back Next »
  4. ×

    Jump up to the previous page or down to the next one. Also, you can type in a page number and press Enter to go directly to that page in the book.

    « Back Next »
  5. ×

    Switch between the Original Pages, where you can read the report as it appeared in print, and Text Pages for the web version, where you can highlight and search the text.

    « Back Next »
  6. ×

    To search the entire text of this book, type in your search term here and press Enter.

    « Back Next »
  7. ×

    Share a link to this book page on your preferred social network or via email.

    « Back Next »
  8. ×

    View our suggested citation for this chapter.

    « Back Next »
  9. ×

    Ready to take your reading offline? Click here to buy this book in print or download it as a free PDF, if available.

    « Back Next »
Stay Connected!