Skip to main content

Currently Skimming:

3 PLASMA PROCESSING IN THE ELECTRONICS INDUSTRY
Pages 13-36

The Chapter Skim interface presents what we've algorithmically identified as the most significant single chunk of text within every page in the chapter.
Select key terms on the right to highlight them within pages of the chapter.


From page 13...
... In 1990, total sales of electronics products reached $750 billion worldwide (Figure 3.1~. 1 995 1 990 ELECTRONICS $750 BILLION SEMICONDUCTORS \ / $50 BILLION ~ / EQUIPMENT AND MATERIALS $19 BILLION PLASMA REACTORS $1 BILLION / ELECTRONICS / $1500 BILLION SEMICONDUCTORS $100 BILLION EQUIPMENT AND MATERIALS $38 BILLION PLASMA REACTORS $2 BILLION Figure 3.1 World electronics food chain (adapted from the National Advisory Committee on Semiconductors report, Preserving the Vital Base, Arlington, Va., July 1990)
From page 14...
... Only plasma etching provides the needed anisotropic etching and high-fidelity pattern-transfer capability. Feeding the electronics industry is the semiconductor industry, which had sales worldwide of $50 billion in 1990.
From page 15...
... These trends place increased demands and increased reliance on plasma processes for high-fidelity pattern transfer, low-temperature deposition, and gaseous cleaning. For example, in the fabrication of the interconnection structure, plasmas are used for deposition of dielectncs and metals, for etching contact windows and conducting patterns, and for cleaning surfaces between each of these steps.
From page 16...
... 1993 Total = $1000 Million Figure 3.5 The trend toward increasing dry etch comple~aty, hence increasing use of plasma equipment, as the level of integration in memory chips increases. (Reprinted, by permission, from A
From page 17...
... For example, Hitachi and Sumitomo have substantially bolstered their market positions in recent years by supplying ECR etching and deposition equipment to Japanese semiconductor manufacturers (Figure 3.7~. The plasma equipment industry at first glance appears to be composed of many small companies.
From page 18...
... In particular, they have placed little or no effort on plasma reactor design or plasma process simulation. MICROELECTRONICS FABRICATION Microelectronic devices are complex structures formed on the surface of a semiconductor wafer.
From page 19...
... Today's processes are developed using a combination of intuition end statistical optimization. The intuition enters in the initial choice of gaseous, reactant precursors, and other process variables such as pressure, flow rate, power, and surface temperature.
From page 20...
... Only with insight based on fundamental understanding can we narrow the process variable space sufficiently and exploit statistical methodology for rapid process optimization. As we enter the 21st century, shrinking device dimensions and increased levels of integration will place greater and greater demands on plasma processing and its optimization.
From page 21...
... The central issue in plasma etching is to control plasma process variables to obtain high anisotropy, high rates, and high uniformity over large areas without sacrificing selectivity or creating undue damage. Only by achieving such control can high-yield, high-volume, low-cost manufacturing be realized.
From page 22...
... Today, we do not understand the fundamental limits to selective etching in plasmas, but we do know that selectivity depends on both chemistry and charged particle bombardment. The same energetic bombardment that provides anisotropy in plasma etching tends to reduce selectivity, and a major challenge for the future will be to understand fundamental limits to both anisotropy and uniformity as a function of energetic particle bombardment.
From page 23...
... Ion bombardment, electron bombardment, reactive neutral flux, product Resorption, and redeposition all appear to be important in detennining the relative etch rates of trenches with different aspect ratios, and so a minimum physical understanding is needed to advance the technology. Damage Much work is needed to quantify plasma-process-induced device damage and relate it to processing conditions and reactor design.
From page 24...
... Since energetic bombardment of device surfaces is inherent to plasma processes, we must learn to optimize reactor design so as to minimize these effects if we are to increase the overall yield of chips in wafer manufacture. New Materials The advent of new materials in microelectronic device technology presents new challenges for plasma etching in the coming decade.
From page 25...
... Lacking is the fundamental understanding that links process variables such as gas composition, flow-rates, pressures, power, excitation frequency, and reactor design to film properties such as morphology, crystallinity, stress, composition, and electrical quality. Such understanding would enable more rapid replacement of high-temperature processes with low-temperature plasma processes and enable more rapid synthesis of needed new materials.
From page 26...
... Plasma Growth and Deposition of New Electronic Materials Material Application Methoda Fluorinated polymers Diamond Silicon carbide High-temperature superconductors Compound semiconductors Nanocrystallites Copper Interievel dielectrics Heat sinks, transistors Heat sinks, transistors Interconnection, high speed Photonic, high speed Data storage, photonic Interconnection PECVD PECVD, TPCVD PECVD, TPCVD Spray, sputter, TPCVD PECVD TPCVD, sputtering, PECVD PECVD a PECVD denotes plasma-enhanced chemical vapor deposition (low pressure) ; TPCVD denotes thermal plasma chemical vapor deposition (high pressure)
From page 27...
... Compared to conventional vapor-phase deposition techniques, the high energy density of the thermal plasma allows higher throughputs and higher film-growth rates. At the same time, much of the coating quality that can be obtained with low-pressure chemical vapor deposition and PECVD processes is also obtained with this deposition process.
From page 28...
... If this can be done, the nonequilibrium nature of plasmas might be more fully exploited for in situ gas-phase wafer cleaning. LOW-PRESSURE PLASMA REACTOR TECHNOLOGY Plasma reactors and plasma processes are intimately intertwined.
From page 29...
... Such CAD tools willenable exploitation of nonequilibrium plasma properties in etching, depositing, cleaning, and synthesizing new thin films for microelectronic device manufacture. Plasma reactor technology in the electronics industry has changed dramatically since the first application of plasma processing to photoresist stripping.
From page 30...
... However, the application of magnetic fields makes modeling, design, and control of plasma processes significantly more challenging. MAGNETIC CONFINEMENT In some of the latest generation of etchers used on production lines today, a uniform direct-current magnetic field is applied parallel to the wafer surface to raise the plasma density and reduce the ion bombardment energy.
From page 31...
... In PECVD, chemical precursors can be introduced downstream of the plasma to control the composition of species impinging on the wafer surface. Remote plasma processes are less dependent on wafer state and, therefore, are more compatible with robust, flexible manufacturing (see below)
From page 32...
... .. 1~¢ cam r -- I u - _~'1~7 Helicon ECR Figure 3.15 Semiconductor manufacturers are faced with the dilemma of choosing plasma process equipment from a wide variety of configurations but without fundamental understanding of how designs will affect processes and meet device fabrication specifications.
From page 33...
... However, the advantages of process clustering compared to single-chamber processing are likely to outweigh the high cost of ownership. THERMAL PLASMA REACTOR TECHNOLOGY Thermal plasma generators that are being used in the electronics industry are plasma spray torches and TPCVD reactors.
From page 34...
... ,~ ~ ~ ~ ~Sllbetrate I//////// CoolIng , _ I1 ~ 141 Figure 3.16 Schematic illustration of a thermal plasma chemical vapor deposition torch used for depositing electronic materials. plasma torches have been probably the most favored plasma-generation method for TPCVD, because they provide a plasma flow with moderate velocity and moderate energy density ant!
From page 35...
... As microelectronic device dimensions continue to shrink during the next 10 years, plasma processing will be used with increasing frequency, while greater demands will be placed on plasma processes. The successful fabrication of future generations of integrated circuits will
From page 36...
... Plasma reactor design is intimately intertwined with plasma processes, but we again lack computer-aided design tools for new plasma reactor design. We are also unable to transfer processes from one plasma reactor to another or to scale processes from a small to a large plasma reactor.


This material may be derived from roughly machine-read images, and so is provided only to facilitate research.
More information on Chapter Skim is available.